• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 8
  • 5
  • 5
  • 1
  • 1
  • 1
  • Tagged with
  • 25
  • 25
  • 7
  • 7
  • 7
  • 5
  • 5
  • 5
  • 4
  • 4
  • 4
  • 3
  • 3
  • 3
  • 3
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
11

Studies On Electronic Properties Of Amorphous Conducting Carbon Films

Bhattacharyya, Somnath 12 1900 (has links) (PDF)
No description available.
12

Electrodeposition of Diamond-like Carbon Films

Chen, Minhua 08 1900 (has links)
Electrodeposition of diamond-like carbon (DLC) films was studied on different substrates using two different electrochemical methods. The first electrochemical method using a three-electrode system was studied to successfully deposit hydrogenated DLC films on Nickel, Copper and Brass substrates. The as-deposited films were characterized by scanning electron microscopy (SEM), Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), fourier transform infrared spectroscopy (FTIR) and cyclic voltammetry (CV). A variety of experimental parameters were shown to affect the deposition process. The second electrochemical method was developed for the first time to deposit hydrogen free DLC films on Ni substrates through a two-electrode system. The as-deposited films were characterized by Raman spectroscopy and FTIR. According to Raman spectra, a high fraction of diamond nanocrystals were found to form in the films. Several possible mechanisms were discussed for each deposition method. An electrochemical method was proposed to deposit boron-doped diamond films for future work.
13

Anglies amorfinių plėvelių ir nanovamzdelių pynių elektrinis laidumas / Electrical conduction in amorphous carbon thin films and nanotubes networks

Gikevičiūtė, Jolanta 16 August 2007 (has links)
Per paskutinį dešimtmetį anglies nanostuktūros – amorfinės anglies plėvelės, fulerenai, o ypač nanovamzdeliai patraukė daugelio tyrėjų dėmesį. Šios struktūros yra daug žadančios puslaidininkių pramonėje, dėl galimybių prietaisų miniatiūrizavimui. Šiuo metu nanotechnologija patraukia vis didesnį dėmesį. Neabejojama anglies ir kitų nanostruktūrų išskirtinėmis savybėmis ir bandoma jas pritaikyti įvairiose srityse, tačiau šių struktūrų įdiegimą riboja tai, kad sunku pagaminti norimų struktūrų ir išmatavimų anglies nanodarinius, nes būtent anglies nanodarinių struktūra nulemia šių darinių fizikines savybes, taipogi ir laidumą. / Carbon nanodivices have attracted the attention of many researchers since their discovery last decade. These carbon molecules are tiny tubes with diameters down to 0.4 nm, while their lengths can grow up to a million times their diameter. Using their remarkable electrical properties, simple electronic logic circuits have been built. These structures are promising for the semiconductor industry which is leading the search of miniaturization. They are not only very good conductors, but they also appear to be the yet found material with the biggest specific stiffness, having half the density of aluminium. They also have great active surface. The aim of this work is to analyse the variable range hopping ( VRH ) conduction, which can describe conduction mechanism in carbon films. Also, to find conduction parameters, whish describe the conduction mechanism in carbon films and carbon nanotubes networks. In that work was analysed impacts of photon – assisted tunneling on electrical conductivity in carbon nanotubes networks mechanism.
14

Diferentes dopagens e intercamadas contendo silício: otimização da adesão de revestimentos de a-C:H sobre aço

Tomiello, Stevan Scussel 24 July 2018 (has links)
O carbono tipo diamante (DLC) é um material de revestimento que alia alta resistência ao desgaste e baixo coeficiente de atrito. Devido a essas propriedades, o DLC pode ser aplicado em peças metálicas para facilitar a conformação em ferramentas de dobra e ainda para a diminuição de perdas por atrito em componentes de motores. Porém, limitações como a baixa adesão e a complexidade da técnica de deposição restringem sua utilização. Diversas estudos propõem alternativas para a otimização do filme, como a inclusão de intercamadas, dopagens ou a aplicação de diferentes parâmetros e processos de deposição, porém não há um consenso na bibliografia de qual opção ou combinação traz o melhor resultado, especialmente visando uma aplicação industrial de baixo custo. Nesse contexto, a proposta deste trabalho é realizar um estudo sistemático de diferentes arquiteturas filme/intercamada utilizando um composto a base de silício para a formação da intercamada e da zona de dopagem e, após encontrar a melhor combinação em termos de adesão, pesquisar o efeito da tensão nessa combinação específica. A técnica de deposição química a vapor assistida por plasma pulsado utilizando confinamento eletrostático foi utilizada para aplicar o revestimento e as diferentes arquiteturas sobre o aço AISI O1 e AISI 4140. As dopagens e intercamadas foram produzidas a partir de hexametildisiloxano, previamente vaporizado, que permite a formação do filme e das diferentes arquiteturas num processo único e simples. A qualidade superficial dos filmes foi avaliada por microscopia ótica, enquanto a estrutura físico-química foi analisada por microscopia eletrônica de varredura e espectroscopia de emissão óptica por descarga luminescente. Por fim, as propriedades mecânicas e tribológicas do filme foram avaliadas por medições de nanodureza e por testes de nanoesclerometria linear, respectivamente. Os resultados mostram que as diferentes arquiteturas de dopagem do DLC com silício promovem uma piora nas propriedades do filme, com o aumento nos defeitos superficiais e a diminuição da dureza e da carga crítica para sua delaminação. A combinação de DLC e uma única intercamada contendo silício apresenta-se como a melhor opção para aplicações tribológicas. Por outro lado, uma maior tensão elétrica no plasma de formação da intercamada de silício aumenta a carga crítica de delaminação e minimiza os defeitos nas bordas das amostras. O conhecimento gerado viabilizou a obtenção de um revestimento para aplicações industriais em grande escala e baixo custo de produção. / Diamond-like carbon (DLC) coatings combine high wear resistance and low friction coefficient. Due to these properties, DLC can be applied on metal parts to facilitate the conformation process in bending tools and also for the reduction of friction losses in engine components. However, limitations as low adhesion and the technical complexity of the deposition process as a whole reduce its use. Several studies show alternatives to the optimization of the film, as the use of interlayers, doping and/or the application of different etching gases and deposition process parameters. However, there is a consensus in the bibliography that an combination of the above alternatives should bring the best result, especially for a low-cost industrial application. In this context, the aim of this study is to carry out a systematic study of different architectures of the film/interlayer system using precursors containing silicon for the formation of interlayer/doping zone to reach the best system in terms of DCL integrity and adhesion. The technique of deposition by pulsed direct current plasma enhanced chemical vapor deposition assisted by electrostatic confinament was used to apply the coating and different architectures on the AISI O1 and AISI 4140 steels. The interlayers were produced from hexamethyldisiloxane with silicon acting also as dopant in carbon film under different conditions, allowing the film formation in a single simple process. The surface integrity of the films was evaluated by optical microscopy, while the physical and chemical structure was analyzed by scanning electron microscopy and glow discharge optical emission spectroscopy. Finally, mechanical and tribological properties of the film were evaluated by nanohardness and nanoscratch measurements, respectively. The results show that different doping architectures of DLC with silicon promote detrimental properties in the DLC, with the increase in the surface defects and the decrease of hardness and critical load for delamination. Moreover, the combination of DLC plus a single silicon-containing interlayer is the best option to optimize the tribological properties. On the other hand, a higher applied plasma voltage in the silicon interlayer deposition guarantees high critical loads for DLC delamination and low density of defects without delamination events at the sample edges. This knowledge made possible to obtain DLC coatings for industrial applications in large scale and low cost of production.
15

Mechanical Properties of Functionally Graded Materials: Carbon Gradient inside Interstitial Free Steel

Cantergiani, Elisa January 2016 (has links)
In the last decade aluminium started to be considered as an alternative to steel to produce car body panels, especially considering the strict demands to decrease fuel consumption which require vehicle weight reduction. In order to keep their leading role, steel companies have to produce stronger materials to reduce the thickness of steel sheets used in cars and are now considering non-conventional steel making processes. The purpose of this PhD research was to investigate the possibility of strengthening thin sheets of interstitial free steel (IF steel) by using carbon rich films deposited on the steel surface using Physical Vapour Deposition (PVD). These films then act as a carbon reservoir which upon heat treatment release carbon in the IF steel and strengthen it. Coated tensile coupons 200 μm thick were annealed at different temperatures under high vacuum. Tensile tests show that a 100 MPa increase in yield stress can be obtained after annealing at 430 ˚C for 1h in high vacuum. The effects of annealing environment, film thickness and prestrain on carbon diffusion were also investigated. It was shown that carbon diffusion from the film to the IF steel substrate is limited by the film transformation into cementite at temperatures equal or higher than 530 ˚C. All tensile curves showed a plastic instability known as Lüders plateau, which is undesirable as it results in surface markings on the deformed part. FEM analyses were performed to find ways to suppress the Lüders plateau, proving that increasing strain-hardening or having a graded instead of uniform carbon content through thickness can suppress or limit Lüdering. The possibility of creating a through thickness gradient of microstructure was investigated as it could suppress Lüdering and result in higher strength. For these tests, FeC coated coupons were induction heated to 820 ˚C followed by water quenching. After only 2 minutes of heat treatment the yield stress was increased by 250 MPa and the ultimate tensile strength reached 400 MPa. With an annealing of 4 minutes, the Lüders plateau was fully suppressed and the microstructure consisted in ferrite grains and TiC nanocarbides. This work demonstrates that FeC films can be effectively used to diffuse carbon into steel and that a significant increase in mechanical properties can be obtained after a heat treatment of only a few minutes.
16

ECR Plasma Deposition Of Carbon - Studies On DLC Coatings And Carbon Nanotubes

Patra, Santanu Kumar 10 1900 (has links)
Recent developments in the field of nano-structured materials for technological as well as scientific prospective are quite interesting. In this context carbon plays a dominant role. Few examples such as carbon nanotubes (CNTs), fullerene, nanostructured diamond, as well as, amorphous carbon film, particularly, diamond-like carbon (DLC) coating are the areas of today’s research. This thesis deals with ECR plasma deposition of carbon in two different forms, i.e., Diamond-like carbon (DLC) and carbon nanotubes (CNTs) In the case of DLC coatings the chemical vapor deposition (CVD) and sputtering CVD configuration has been used. The carbon nanotubes have been grown using CVD configuration. DLC films were deposited by ECR-rf CVD mode, as well as, ECR sputtering mode. In case of CVD films, about 0 — 100 Watts rf bias was employed in steps of 20 Watts, corresponding to effective negative self bias voltage of 15 — 440 V. CH4 and C2H2 have been used as source gas for CVD films. Microwave power was optimized at 300 Watts. In case of sputtering, a cylindrical graphite target (diameter 9 cm and length 6 cm) kept at the exit of the Ar plasma was biased with -200 V. Films were deposited on floating substrate (temperature ~100 oC). Films were deposited on Si, quartz, and steel substrates and characterized by FT-IR, Raman, UV-Visible, Photoluminescence spectroscopy (PL), spectroscopic ellipsometry. Nanoindentation was used to evaluate the film’s elastic property. Pin-on-disk measurement was used to study the tribological property of the films. Electrical properties of the films deposited on Si [p-(100), 10 Ω cm] were studied using picoammeter / source measuring instrument by two probe method. FT-IR analysis showed sp3C-H absorption peak at 2930 cm-1 for the CVD films, while sputtered films did not show any C-H absorption. Raman spectroscopy was used to evaluate bonding aspects as well as hydrogen content of the films. Comparison of sp3C : sp2C among the films was done based on I(D) / I(G) of the Raman peaks, while hydrogen content was estimated based on background slope of the Raman spectra. It was observed that increase in rf bias induces more sp2C while hydrogen content decreases. An optimum substrate bias of 40 Watts was predicted from the Raman spectra. For sputtered films Raman spectra indicated the formation of nanocrystal diamond in a-C matrix. UV-Visible-NIR optical transmission spectroscopy was used to determine the band gap (Tauc), E0, of the films. It showed that increase in rf bias increases the absorption coefficient α. The films deposited from CH4 with a substrate bias of 0 and 20 Watts (i.e., high hydrogen content in the film) followed (hνα)1/2 = const. (hν –E0), while other films hνα = const. (hν –E0) ( h is Plank constant ν is frequency of light). E0 varied from 1.1 — 2.5 eV. It was assumed that for π--π* transition follows root relation while π--σ * transition follows linear relation. Spectroscopic ellipsometry was used to determine optical constants, film thickness, and interface thickness. Deposition rate found out to be ~100 nm / mints for C2H2, ~10 nm / mints for CH4, and ~2.5 nm /mints for sputtered films. Formation of interface layer of thickness about 5 —30 nm due to high energy ion bombardment takes place for the films deposited at 40 Watts rf bias or higher. Band gap and related phenomena was revisited from the data that was obtained from this instrument which reasonably matches with the earlier results. PL experiments were carried out at room temperature using lamp excitation source as well as laser excitation source (457.9 nm wavelength). In case of lamp excitation source any wavelength from 200 —900 nm region can be selected. PL spectra showed that there are two sources of PL signal, one from nanocrystal diamond and other from sp2C phase. To obtain PL signal from diamond UV excitation wavelength was required. This diamond phase is highly efficient emitter as compared to sp2C phase. Based on the closeness of diamond’s optical centre labeling of the peaks was done. For CVD films N3 ( 457 nm), H4 (495 nm), H3 (520 nm), [N-V]0 (~590 nm) optical centers of diamond was observed. For sputtered films [N-V]0 (2.08 eV), H3 (2.38 eV), H4 (2.50 eV), N3 (2.81eV), N3 (2.96 eV), 3.3 eV ( undocumented peak), 5RL ( 4.14 eV) optical centers of diamond as well as band-edge emission (5.01 eV ) was observed. Nanoindentation technique was used to estimate the elastic property and related phenomena of the films. It shows that the films are having hardness of 5—17 GPa and reduced modulus of 20 —120 GPa depending on the deposition parameters. All the films show highly elastic response at lower load, i.e., at low indentation depth where elastic recovery is 85—95 %. At higher load substrate effect comes into the picture. Further morphology in and around the region was evaluated using scanning probe microscopy (SPM). It was shown that substrate effect comes into picture that is based on film’s thickness as well as its elastic property. Films were further characterized by pin-on-disk experiments. C2H2 based films were used because of high deposition rate. Since 40 Watts, 60 Watts, and 100 Watts films adhere well with steel only on these films tribological test was possible. A hardened bearing-steel was used as substrate and a 2 mm diameter cylindrical pin made of tool steel was use as pin. Studies were carried out with three different loads of 20, 40, and 60 N. Friction coefficient varied from 0.02 — 0.04 and wear rate was found to be 10-6 — 10-9 mm3 / N m. A sputtered film of 0.1 μ m on the top of the CVD film, in many respects, enhances the tribological properties. It was shown that certain amount of wear is required for low friction of DLC. Electrical characterization of the films deposited from CH4 showed that they are highly insulating with resistivity of 1013 —1011 Ω-cm, and current conduction mechanism has been found to be predominantly space charge limited conduction (SCLC). Similar to the observations of Tauc’s relation, the film deposited with 0 and 20 Watts bias behave differently and followed the relation , where as, all other films exhibited the relation ( α, n are constants). It signifies that for 0 and 20 Watts rf biased films traps are uniformly distributed across the band gap while for others it decreases from the conduction band. For 0 and 20 Watts rf biased films no Ohmic current was observed at a detection level of 10-11 A. 40 Watts and higher rf biased films showed that three distinct regions in the I-V curves; initially Ohmic region, next to it SPLC region, and finally breakdown region. Increase in rf bias causes increase in Ohmic current. Film deposited from C2H2 showed diode-like behavior with higher conduction current limited by resistive control, and the resistivity of the films was ~ 109 — 105 Ω-cm. Difference in resistivity between the films deposited from CH4 and C2H2 was explained by considering the impurities in the source gas resulting in nitrogen doping concentration. Increase in Ohmic current for the CH4 films was explained by assuming the widening of the σ--σ * gap. Similar diode-like behavior was observed with the sputtered film. The last part of the work deals with the growth mechanism of aligned CNTs and their field emission (FE) properties. Nanotubes were grown at 700 0C on Ni coated (thickness 40 nm, 70 nm, and 150 nm) Si substrate using a mixture of CH4 and H2 gas. Microwave power of 500 Watts was optimized for nanotube growth. Nickel nanoparticle formation mechanism from a continuous Ni film was explained by considering the stress that is generated due to the difference in thermal expansion coefficients of Si and Ni at 700 oC. Though the thicker film such as 150 nm does not form nanoparticle due to stress, hydrogen induced fragmentation of the film due the brittleness of the film even causes formation of finer nanoparticles. A substrate bias in the range 0— 250 V was used to align the nanotubes. Perfectly aligned CNTs were obtained at -250 V substrate bias. The density of the tubes varied from 108 —109 / cm2 while its length was 0.5 — 2 μ m. Due to hydrogen induced fragmentation of the films, 150 nm Ni thick film showed smallest diameter 2 — 5 nm CNTs. 40 nm films showed nanotube diameter of 10 — 30 nm and 150 — 300 nm while 70 nm showed 10 — 30 nm diameter nanotubes. Diameter of the nanotubes was estimated using transmission electron microscopy (TEM). Field emission analysis of these CNTs was done using Fowler-Nordheim (F-N) plot and the investigation revealed that the field emission properties strongly depend on density and aspect ratios. The non-linearity in the F-N plot or current saturation phenomena was explained in terms of change in work function due to heating effect during FE which was pronounced in case of longer nanotube. Suitable efficient cold-cathode emitters for a particular usage (assuming that the variables are applied field and emission current) could be designed from the obtained results. An ammonia gas sensor using thick nonaligned CNTs was realized. For this purpose a thick film of CNTs (~ 0.5 μm) was deposited. This sensor can detect 100 ppm level of ammonia. About 1.5 — 4.5 % change of resistance depending on ammonia concentration (100 —1000 ppm) was observed.
17

Electrical Properties Of Diamond Like Carbon Films In Metal-Carbon-Silicon (MCS) Structure

Reddy, K Siva Sankara 12 1900 (has links)
Amorphous carbon film with Diamond like properties is the subject of intense interest in the past one and half decade. The unusual properties of these diamond like carbon films arise from the preponderance of SP3 tetrahedral bonding of carbon in the film. Depending on the processing technique and the processing conditions used, the structure of the films can range from amorphous carbon to large grain polycrystalline diamond. These deposited amorphous carbon films, which are smooth, may find their use in optoelectronics, in dielectric films and in microelectronics. These films are found to be chemically inhomogeneous(containing SP3 hybridized carbon in a matrix of SP2 hybridized non-graphitic carbon). There is a possibility of using these films as substrates in microelectronics, provided the deposited films are structurally smooth, are chemically homogeneous and are dopable with both types of impurities. A host of other advantages of using diamond like carbon as a substrate material in microelectronics made it a topic of interest to many investigators. This prompted the author to take up investigations on diamond like carbon films from the point of examining the electrical properties of these films and on the possibility of conceiving devices based on these films. This investigation dealt with, sputter deposition of diamond like carbon films and their electrical characteristics in MCS device structures. In this, emphasis is given to the importance of processing parameters involved and the effect of each parameter on the electrical and structural properties of the film. Various substrate treatments were done prior to sputtering and found that the DLC nature of the film exists in all the films but differ from one another in electrical resistivity, in nucleation density and in their adherence to the substrate. Films deposited on substrates treated with low vapour pressure oil resulted in compressive strain in the film and lead to very poor adhesion. The nucleation density increased when the substrates are pretreated with ultrasonic agitation in hard SiC grit. The substrate temperature had a direct impact on the resistivity of the film: resistivity decreases with increase in substrate temperature. The constituents of the plasma modified the structural properties of the film, e.g. the Hydrogen content in the plasma has resulted in increasing the SP3 hybridization content of the film, by acting as SP2- SP2 network terminator. Ultra violet light focused onto the substrate, in general, enhanced the deposition rate. Inclusion of Nitrogen in the plasma substantially increased the conductivity of the material and this is used in doping of the DLC film. The carbon films deposited on silicon are used for electrical characterisation. Deposition of metal electrode on the carbon film lead to the basic (MCS) device structure. The I vs.V characteristics of the MCS structure resemble those of junction diodes. From the I vs.V characteristics at different temperatures, it has been found that the reverse current goes through a maximum, drops back to certain level and once again increases with gradual increase in temperature. This behaviour of the structure with A1 as well as Ag as top electrode materials is explained by the heterojunction formed at the C-pSi interface. The initial increase in the reverse current is dominated by the drift of minority carriers across the depletion width at the reverse biased junction. With increase in temperature, the depletion width reduces to a minimum above a certain temperature, where the diffusion of carriers controls the current across the device. From the constructed energy-band diagram of heterojunction, it is shown that the change in the transport phenomena from drift of minority carriers to diffusion of majority carriers at the junction, introduces a barrier at the critical temperature; This is responsible for the drop in current at the critical temperature. This explains the anomaly of drop in reverse current with increase in temperature. The C vs. v characteristics showed a bell shaped behaviour indicating the presence of two junctions connected back to back. This confirms the type of contact formed at the metal-carbon interface and the type of conductivity of the film, concluding that A1 makes a Schottky contact where as Ag makes an ohmic contact and the deposited film behaves like n-type material. The C vs. V behaviour with temperature is explained by the two types of contacts in the case of Al-GpSi, i.e. Schottky contact at Al-C; and heterojunction at C-pSi interface. These C vs. V and I vs.V changes with temperature are in tune with each other and the model proposed takes care of all the characteristics observed. In case of Ag-GpSi, C vs. V with temperature shows junction like behaviour at elevated temperatures and are explained by the presence of the interface at C-pSi. It has been observed that in some of the carbon films, when an electric field of the order of l06 V/cm is applied, the reflectance of the Aluminium metal dot is increased by 5 times, coupled with a 50 to 100 times increase in the associated capacitance of the MCS structure. The increase in reflectance is explained by considering the film to be inhomogeneous with a matrix of varying dielectric constants (SP3 hybridized carbon in a medium of SP2 bonded carbon). The transformed film, is homogeneous and enhances the reflectance of the Aluminium dot. This is termed as "homogeneity induced smoothness." The transformation of inhomogeneous material to homogeneous material is further confirmed by the Raman spectroscopy, in which the broad peak is converted to a sharp peak changing the FWHM from 93 cm-1 to 4 cm-1 ; denoting the structural order in the film. To the best of our knowledge, this is the first investigation reporting the crystalline nature of the DLC, with structural order and the corresponding FWHM of the Raman peak as low as 4 cm-1. The preparational conditions of the film to get this transformation and the influence of various process parameters are examined. Devices based on Metal-Carbon-Oxide- Silicon (MCOS) structure are realized by thermally grown oxide/sputter deposited oxide on silicon, prior to carbon deposition. These structures showed voltage controlled negative resistance(VCNR) characteristics. The applied voltage and its distribution across the reverse biased junction and across the oxide gives rise to a negative resistance region. With the number of V vs. I characteristics measured, it is observed that the negative resistance region also shifts. This is attributed to the trapped charges in the carbon changing the distribution of applied voltage. This is explained by modifying the energy-band diagram. A concept of the accumalated charges at the oxide barrier filling up the higher energy states in the carbon and silicon, to become hot carriers is used. As long a. more voltage is dropped across the oxide, these hot carriers can surmount the barrier at the reverse biased junction. The flow of these carriers is cut off when the additional voltage is dropped across the reverse biased junction leading to a drop in the current. A further increase in the applied voltage nominally increases the current due to increase in the leakage current. A new hybrid (electrical/optical) read only memory (ROM) element is conceived and the way in which the information can be written and read is discussed. A two terminal negative resistance device using MCOS structure is fabricated and tested for its VCNR property. An analog memory device is proposed using the MCOS structure as gate in an FET. The work reported in this thesis has been divided into nine chapters. The introductory remarks on the importance of the area of research and about the work reported in this thesis are given in chapter one. Chapter two deals with some of the basic concepts related to understand the reported work. In chapter three the research work done by other investigators covering different aspects of this work is reported and some of their investigations are reviewed. Chapter four dealt with the various preparative techniques to deposit films, their structural characterisation, and the experimental work carried out to electrically characterize these films. Chapter five presents the I vs.V & C vs. V analysis and a model to qualitatively explain them. In chapter six field induced transformation phenomena of some of these films and its impact on the reflectance of the metal dot is dealt. Chapter seven consists of the MCOS device structure, its I vs.V characteristics and a model to explain the behaviour. Chapter eight presents the application part of same of the phenomena observed in conceiving a new hybrid ROM element and a two terminal negative resistance device. The concluding ninth chapter itemizes the important results of the work and suggestions to carry forward this work which can open up new vistas in the diamond like carbon film based technology and its applications in microelectronics.
18

Fabricação e caracterização eletrica de ponteiras de emissão de campo recobertas com filme fino DLC (Diamond Like Carbon) / Fabrication and electrical characterization of field emission tips covered by DLC (Diamond Like Carbon) thin films

Porto, Lesnir Ferreira 20 December 2005 (has links)
Orientador: Marco Antonio Robert Alves / Dissertação (mestrado) - Universidade Estadual de Campinas, Faculdade de Engenharia Eletrica e Computação / Made available in DSpace on 2018-08-05T19:44:58Z (GMT). No. of bitstreams: 1 Porto_LesnirFerreira_M.pdf: 4190794 bytes, checksum: 1dec9fdc0b3dd1bffb34d78bccf2648b (MD5) Previous issue date: 2005 / Resumo: Este trabalho de mestrado teve como objetivos a fabricação e o estudo do comportamento elétrico das ponteiras de silício de emissão de campo a vácuo (PECV) recobertas com filme fino de carbono tipo diamante (DLC). Apresentamos o processo de fabricação das ponteiras de silício que é realizado através das etapas de fotolitografia, corrosão por íon reativo no plasma de SF6 (hexafluoreto de enxofre), oxidação térmica seca para afinamento, e deposição do filme DLC por PECVD (Plasma Enhanced Chemical Vapor Deposition). Mostramos os resultados obtidos da caracterização elétrica das ponteiras sem o filme e com o filme DLC, através do levantamento das curvas características I x V (corrente x tensão) e I x t (corrente x tempo). Verificamos que as curvas I x V obedeceram ao modelo de emissão de elétrons de Fowler-Nordheim. Comparamos estes resultados a fim de avaliarmos as mudanças na tensão de limiar, corrente emitida, e estabilidade de emissão. Neste estudo fabricamos PECV recobertas por filme DLC com espessura de aproximadamente 170 Å / Abstract: The objectives of this dissertation were the fabrication of silicon field emitter tips coated with diamond like carbon (DLC) thin films, and the study of its electrical behavior. We present the fabrication process of silicon tips that consists on four stages: photolithography, reactive ion etching SF6 plasma, thermal oxidation for sharpening, and the DLC deposition by PECVD (Plasma Enhanced Chemical Vapor Deposition). We show results obtained from the electrical characterization of tips without film and tips with DLC, by the characteristics curves I x V (current x voltage) and I x t (current x time). Current-voltage measurements followed a Fowler-Nordheim electron emission behavior. We compare these results to evaluate the change of the threshold voltage, emitted current, and emission stability, as a function of the coating with the film. In this study we fabricated silicon tips coated with DLC film with thickness of approximately 170 Å / Mestrado / Eletrônica, Microeletrônica e Optoeletrônica / Mestre em Engenharia Elétrica
19

Strutctural Studies And Metal-Insulator Transition In Intercalated Amorphous Carbon

Latha Kumari, * 04 1900 (has links) (PDF)
No description available.
20

Možnosti využití nízkonapěťového transmisního elektronového mikroskopu LVEM 5 k identifikaci virů / Potential uses of the low voltage transmission electron microscope LVEM 5 for the identification of viruses.

BIELNIKOVÁ, Hana January 2009 (has links)
The aim of this study was to define and examin the capabilities of the low voltage transmission electron microscope LVEM 5 in the detection and identification of viruses, taking into consideration various contrasting agents, and a comparison to data from HV TEM.

Page generated in 0.0294 seconds