• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 7
  • 3
  • 2
  • Tagged with
  • 12
  • 9
  • 9
  • 7
  • 6
  • 4
  • 4
  • 4
  • 4
  • 4
  • 3
  • 3
  • 3
  • 3
  • 3
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

Analyse und Modellierung der Haftungsmechanismen bei der Beschichtung und Verklebung von Papierwerkstoffen

Prístavok, Radovan 17 July 2009 (has links) (PDF)
Das Ziel der vorliegenden Arbeit war, über die gezielte Analyse, Messung und Bewertung, einen entscheidenden Beitrag für das grundlegende Verständnis der Haftungsmechanismen bei der Verklebung von verpackungsindustrienahen Modellsystemen zu leisten. Anhand einer Vielzahl von Untersuchungen zur Charakterisierung der Oberflächenmorphologie, -chemie, -beschaffenheit, der grenzflächenenergetischen und Benetzungseigenschaften konnten einige Ansätze für Korrelationen zwischen Stoff- und Verbundeigenschaften ermittelt werden. Dazu wurden einige neue messtechnische Erkenntnisse zur grenzflächenenergetischen Charakterisierung der Modellsysteme (Modellklebstoffe und -oberflächen) gewonnen. Es wurde allerdings gezeigt, dass bei den beiden untersuchten Klebstoffsystemen (Schmelz- und Dispersionskleber) die Haftmechanismen oft durch klebstoffspezifische Phänomene (Schmelzklebstoffe - Wachsseparation und Dispersionsklebstoffe - wässriger precursor film), welche aufgrund einer grenzflächenbedingten Phasenseparation des Klebstoffes zur Bildung einer "Trennschicht" führen, negativ verändert werden können.
2

Analyse und Modellierung der Haftungsmechanismen bei der Beschichtung und Verklebung von Papierwerkstoffen

Prístavok, Radovan 24 April 2006 (has links)
Das Ziel der vorliegenden Arbeit war, über die gezielte Analyse, Messung und Bewertung, einen entscheidenden Beitrag für das grundlegende Verständnis der Haftungsmechanismen bei der Verklebung von verpackungsindustrienahen Modellsystemen zu leisten. Anhand einer Vielzahl von Untersuchungen zur Charakterisierung der Oberflächenmorphologie, -chemie, -beschaffenheit, der grenzflächenenergetischen und Benetzungseigenschaften konnten einige Ansätze für Korrelationen zwischen Stoff- und Verbundeigenschaften ermittelt werden. Dazu wurden einige neue messtechnische Erkenntnisse zur grenzflächenenergetischen Charakterisierung der Modellsysteme (Modellklebstoffe und -oberflächen) gewonnen. Es wurde allerdings gezeigt, dass bei den beiden untersuchten Klebstoffsystemen (Schmelz- und Dispersionskleber) die Haftmechanismen oft durch klebstoffspezifische Phänomene (Schmelzklebstoffe - Wachsseparation und Dispersionsklebstoffe - wässriger precursor film), welche aufgrund einer grenzflächenbedingten Phasenseparation des Klebstoffes zur Bildung einer "Trennschicht" führen, negativ verändert werden können.
3

Charakterisierung Plasmamodifizierter Elastomer-Oberflächen

Meyer, Thorsten 09 January 2006 (has links)
Heute werden Polymere für zahlreiche Anwendungen eingesetzt. Oftmals ist das Verkleben, Bedrucken, Beschichten oder Benetzen von Polymeren aber problematisch, da sie eine niedrige Oberflächenenergie aufweisen. Eine Plasmabehandlung der Polymeroberfläche kann die Oberflächenenergie stark erhöhen und die genannten Verarbeitungsverfahren ermöglichen. Bei einer solchen Plasmabehandlung reagieren die Ionen und Radikale des Plasmas mit der Polymeroberfläche und erzeugen dort funktionale Gruppen, welche die Oberflächeneigenschaften des Polymers bestimmen.In dieser Arbeit sollen die Oberflächeneigenschaften ausgewählter Polymere mittels Plasmabehandlung dahingehend modifiziert werden, dass ihre Oberflächenenergien von sehr hoch" nach sehr gering" schaltbar sind. So kann eine Oberfläche zunächst von Wasser stark benetzend sein, also hydrophil und dann durch kurze Plasmabehandlung total unbenetzend, also hydrophob sein. Diese Plasmaaktivierungen sollen möglichst schnell, mechanisch stabil und reversibel sein.Um die Reaktionen im Plasma besser auflösen zu können, wird das komplexe HNBR (Hydriertes Nitril Butadien Gummi) zunächst in einfache Modellsysteme wie Polyethylen, Polybutadien und Polyacrylnitril zerlegt.
4

Die Bedeutung der Segregations- und Oxidationsneigung Seltener Erden für die Einstellung hartmagnetischer intermetallischer Phasen in SmCo-basierten Nanopartikeln

Schmidt, Frank 18 April 2018 (has links) (PDF)
Aufgrund der sehr hohen magnetokristallinen Anisotropiekonstante eignet sich besonders die Phase SmCo5 für zukünftige Festplattenmedien mit hoher Speicherdichte. Durch die starke Oxidationsneigung und die gegebene chemischen Ähnlichkeit anderer Seltenen Erden ist es eine Herausforderung hartmagnetische SmCo-basierte Nanopartikel mittels Inertgaskondensation herzustellen. Zudem bestimmt die Oberflächenenergie maßgeblich die Eigenschaften von Nanopartikeln, sodass ein Element mit einer geringen solchen energetisch bevorzugt die Oberfläche bildet. Diese Arbeit zeigt auf, wie die sauerstoffbasierte Oxidation und die unterschiedlichen Oberflächenenergien der legierungsbildenden Elemente die Struktur, die Morphologie und die chemische Verteilung der Elemente innerhalb der Nanopartikel beeinflussen und so die Legierungsbildung einer hartmagnetischen Sm(Pr)Co-Phase steuern. Mithilfe von aberrationskorrigierter, hochauflösender Transmissionselektronenmikroskopie in Verbindung mit Elektronenenergieverlustspektroskopie werden Morphologie, Elementverteilung und Struktur von unterschiedlich hergestellten Sm(Pr)Co-Nanopartikeln untersucht und analysiert. Die auftretende Segregation der Seltenen Erden an die Oberfläche der Nanopartikel wird zum einen auf eine sauerstoffinduzierte, zum anderen auf eine intrinsische Segregation, also eine durch unterschiedliche Oberflächenenergien der legierungsbildenden Elementen hervorgerufene Segregation zurückgeführt. Anhand eines entwickelten geometrischen Modells wird zwischen den beiden Ursachen der Segregation unterschieden. Das Verständnis um die kausalen Zusammenhänge der Segregation lässt den Schritt zur Herstellung hartmagnetischer intermetallischer SmCo-basierter Nanopartikel zu. Hierzu werden speziell Nanopartikelagglomerate geformt und optisch in einem Lichtofen erhitzt, sodass die Primärpartikel in den Agglomeraten versintern und schließlich das resultierende sphärische Partikel kristallisiert. HRTEM-Aufnahmen und Elektronenbeugung bestätigen die erfolgreiche Herstellung von SmCo5- und Sm2Co17-basierten Nanopartikeln. Die Koerzitivfeldstärke dieser Partikelensembles beträgt 1,8T und einem Maximum in der Schaltfeldverteilung bei 3,6T. Die magnetischen Eigenschaften spiegeln die analysierten strukturellen, morphologischen und chemischen Eigenschaften der Nanopartikel wider.
5

In-vitro-Untersuchung der antimikrobiellen und zytotoxischen Eigenschaften eines kupferhaltigen Zinkoxidphosphatzementes / In vitro antimicrobial and cytotoxic properties of a phosphate cement with copper additive

Wassmann, Torsten 15 November 2017 (has links)
No description available.
6

Die Bedeutung der Segregations- und Oxidationsneigung Seltener Erden für die Einstellung hartmagnetischer intermetallischer Phasen in SmCo-basierten Nanopartikeln

Schmidt, Frank 22 January 2018 (has links)
Aufgrund der sehr hohen magnetokristallinen Anisotropiekonstante eignet sich besonders die Phase SmCo5 für zukünftige Festplattenmedien mit hoher Speicherdichte. Durch die starke Oxidationsneigung und die gegebene chemischen Ähnlichkeit anderer Seltenen Erden ist es eine Herausforderung hartmagnetische SmCo-basierte Nanopartikel mittels Inertgaskondensation herzustellen. Zudem bestimmt die Oberflächenenergie maßgeblich die Eigenschaften von Nanopartikeln, sodass ein Element mit einer geringen solchen energetisch bevorzugt die Oberfläche bildet. Diese Arbeit zeigt auf, wie die sauerstoffbasierte Oxidation und die unterschiedlichen Oberflächenenergien der legierungsbildenden Elemente die Struktur, die Morphologie und die chemische Verteilung der Elemente innerhalb der Nanopartikel beeinflussen und so die Legierungsbildung einer hartmagnetischen Sm(Pr)Co-Phase steuern. Mithilfe von aberrationskorrigierter, hochauflösender Transmissionselektronenmikroskopie in Verbindung mit Elektronenenergieverlustspektroskopie werden Morphologie, Elementverteilung und Struktur von unterschiedlich hergestellten Sm(Pr)Co-Nanopartikeln untersucht und analysiert. Die auftretende Segregation der Seltenen Erden an die Oberfläche der Nanopartikel wird zum einen auf eine sauerstoffinduzierte, zum anderen auf eine intrinsische Segregation, also eine durch unterschiedliche Oberflächenenergien der legierungsbildenden Elementen hervorgerufene Segregation zurückgeführt. Anhand eines entwickelten geometrischen Modells wird zwischen den beiden Ursachen der Segregation unterschieden. Das Verständnis um die kausalen Zusammenhänge der Segregation lässt den Schritt zur Herstellung hartmagnetischer intermetallischer SmCo-basierter Nanopartikel zu. Hierzu werden speziell Nanopartikelagglomerate geformt und optisch in einem Lichtofen erhitzt, sodass die Primärpartikel in den Agglomeraten versintern und schließlich das resultierende sphärische Partikel kristallisiert. HRTEM-Aufnahmen und Elektronenbeugung bestätigen die erfolgreiche Herstellung von SmCo5- und Sm2Co17-basierten Nanopartikeln. Die Koerzitivfeldstärke dieser Partikelensembles beträgt 1,8T und einem Maximum in der Schaltfeldverteilung bei 3,6T. Die magnetischen Eigenschaften spiegeln die analysierten strukturellen, morphologischen und chemischen Eigenschaften der Nanopartikel wider.:1 Einleitung 2 Theoretische Grundlagen 2.1 Physikalische Nanopartikelsynthese aus der Gasphase 2.1.1 Nukleationsprozess und Thermodynamik 2.1.2 Partikelwachstum 2.1.3 Sintern 2.2 Segregation 2.3 Das Stoffsystem Samarium-Kobalt 2.4 Magnetische Eigenschaften 2.4.1 Magnetische Kristallanisotropie 2.4.2 Eindomänenteilchen nach dem Stoner-Wohlfarth-Modell 3 Experiment und Methode 3.1 Nanopartikelpräparation 3.1.1 Nukleationskammer 3.1.2 Justage des Lichtofens 3.2 Herstellung glatter Schichten 3.3 Transmissionselektronenmikroskopie 3.3.1 Elektronenenergieverlustspektroskopie (EELS) 3.3.2 Partikelstatistik 3.4 Magnetische Messung 3.4.1 Korrektur der Hystereseschleife 3.4.2 Reversible und irreversible Prozesse 4 Untersuchung der SmCo-Targets mittels XPS und AES 5 Untersuchung der hergestellten Schichten 5.1 AES- und XPS-Analysen 5.1.1 Schicht#1 und Schicht#5 5.1.2 Schicht#6 5.2 TEM-Untersuchung am Querschnitt der Schichten 6 SmCo-Nanopartikel hergestellt aus MaTecK-T1 6.1 Ungeheizte SmCo-Nanopartikel 6.2 Im Flug geheizte SmCo-Nanopartikel 6.2.1 Geometrisches Modell der (un)vollständigen Segregation 7 SmCo-Nanopartikel hergestellt aus Lesker-Target 7.1 Ungeheizte (SmPr)Co-Nanopartikel 7.2 Im Flug geheizte (SmPr)Co-Nanopartikel 7.2.1 Einstellung intermetallischer Phasen 8 Magnetische Eigenschaften der (SmPr)Co-Nanopartikel 9 Zusammenfassung und Ausblick A Anhang A.1 FEM-Simulation A.1.1 Modell und Grundlage der FEM-Simulation der Partikeltrajektorien A.1.2 Ergebnisse der FEM-Simulation
7

Wetting Optimized Solutions for Plasma Etch Residue Removal for Application in Interconnect Systems of Integrated Circuits / Benetzungsoptimierte Reinigungslösungen für die Entfernung von Plasmaätzresiduen für die Anwendung im Verdrahtungssystem integrierter Schaltungen

Ahner, Nicole 28 March 2013 (has links) (PDF)
In multi-level Co/low-k based interconnect systems of ultralarge-scale integrated electronic devices the removal of plasma etch residues by common plasma cleaning processes has been shown to alter material properties like k-value and leakage current of the low-k dielectric. Besides the development of less damaging plasma processes their substitution by wet cleaning steps is in the focus of research and development. With further decreasing feature dimensions the development of wet cleaning processes has to include wetting issues like the non-wetting of small features due to the surface energy of the liquid or pattern collapse effects of low-k dielectric trenches due to high capillary forces This work at first focuses on the determination of the surface energetic character of common cleaning solutions for PERR and differently etched or ashed low-k dielectric surfaces by contact angle analysis, to outline which combinations of solid and liquid will be critical regarding their wetting behavior. Besides the determination of the wetting behavior the contact angle analysis turned out to be a fast and sensible analytic tool to understand the surface modifications introduced by different plasma processes and can help to understand the mechanisms of plasma damage of low-k dielectric surfaces. The analysis showed that especially polymerizing plasma etch processes produce a low-energetic low-k dielectric surface with a negligible polar energy contributions, which inhibits their wetting by high energetic water based cleaning solutions, which actually are favored by semiconductor manufacturers. The strategy to overcome these wetting issues followed in the present work is the reduction of the surface energy of the cleaning liquids by the application of surfactants. Several types of surfactants have been applied to the cleaning liquids and the compatibility of the surfactant solutions to BEOL materials like low-k dielectrics, copper and diffusion barriers as well as their dynamic behavior has been studied. The analysis showed that choosing the appropriate rinsing solution after the cleaning process is essential to ensure its compatibility to porous low-k dielectrics. Optical, electrical and structural data indicated that DIW rinse in most of the cases was not able to remove residual surfactant species within the material, while for an IPA rinse most of the residual surfactants have been removed. Considering the data received for compatibility to low-k materials, copper and barriers, the dynamic behavior of the surfactant solutions as well as influences of increased bath temperature and long term stability a general advice about surfactant selection and processing of surfactant aided solutions within BEOL is given. / In mehrlagigen Kupfer/low-k basierten Metallisierungssystemen hochintegrierter elektronischer Bauelemente kann die Entfernung von Residuen nach der Plasmastrukturierung des Dielektrikums mittels herkömmlicher Plasmareinigungsprozesse zur Schädigung der Isolatorschicht und damit zum Ansteigen der relativen Dielektrizitätszahl sowie der Leckströme führen. Neben der Entwicklung schädigungsarmer Plasmaprozesse stellt der Ersatz dieser Prozesse durch Nassreinigungsschritte zur Ätzresiduenentfernung eine vielversprechende Alternative dar. Mit stetig abnehmenden Strukturabmaßen ist bei der Entwicklung dieser Nassreinigungsprozesse neben der Materialkompatibilität auch das Benetzungsverhalten der Reinigungsflüssigkeit von entscheidender Bedeutung, da die Oberflächenenergie der Reinigungslösung das Eindringen dieser in kleinste Strukturen verhindern und es durch hohe Kapillarkräfte zum Kollaps von Grabenstrukturen im Dielektrikum kommen kann. In der vorliegenden Arbeit wurde zunächst mittels Kontaktwinkelanalyse die Oberflächenenergie verschieden prozessierter low-k Dielektrikaschichten sowie herkömmlicher Lösungen zur Entfernung von Ätzresiduen untersucht, um hinsichtlich ihres Benetzungsverhaltens besonders kritische Materialkombinationen aufzuzeigen. Neben der Bestimmung des Benetzungsverhaltens hat sich die Kontaktwinkelanalyse zur Oberflächenenergieberechnung als schnelle und empfindliche Methode zur Analyse der Auswirkung von Plasmaprozessen auf die Oberfläche von low-k Dielektrika erwiesen. Die Untersuchungen haben gezeigt, dass besonders polymerisierende Plasmaprozesse eine niederenergetische Oberfläche erzeugen, welche von den derzeit in der Halbleiterfertigung bevorzugten hochenergetischen wasserbasierten Reinigungslösungen nur schlecht benetzt wird. Um diesem Effekt entgegenzuwirken wurde in der vorliegenden Arbeit die Senkung der Oberflächenenergie der Reinigungslösungen durch Zugabe von Tensiden untersucht. Es wurden mehrere Tenside unterschiedlichen Typs den Reinigungsflüssigkeiten zugemischt und die Kompatibilität dieser Lösungen mit low-k Dielektrika, Kupferschichten und Diffusionsbarrieren untersucht sowie ihr dynamisches Verhalten analysiert. Dabei hat sich gezeigt, dass die Auswahl der geeigneten Spüllösung nach dem eigentlichen Reinigungsprozess von entscheidender Bedeutung ist. Optische, elektrische sowie strukturelle Daten deuten darauf hin, dass bei Verwendung einer Spülung mit deionisiertem Wasser in den meisten Fällen Tensidrückstände im porösen Dielektrikum verbleiben. Eine Spülung mit Isopropanol war hingegen in der Lage, einen Großteil dieser Tensidrückstände zu entfernen. Unter Einbeziehung der Daten zur Materialkompatibilität und dem dynamischen Verhalten der Tensidlösungen bei Raumtemperatur und erhöhter Badtemperatur sowie ihrer Langzeitstabilität konnte schließlich eine Prozessempfehlung für die Verwendung der benetzungsoptimierten Reinigungslösungen in der BEOL-Prozessierung gefunden werden.
8

Wetting Optimized Solutions for Plasma Etch Residue Removal for Application in Interconnect Systems of Integrated Circuits: Benetzungsoptimierte Reinigungslösungen für die Entfernung von Plasmaätzresiduen für die Anwendung im Verdrahtungssystem integrierter Schaltungen

Ahner, Nicole 04 April 2012 (has links)
In multi-level Co/low-k based interconnect systems of ultralarge-scale integrated electronic devices the removal of plasma etch residues by common plasma cleaning processes has been shown to alter material properties like k-value and leakage current of the low-k dielectric. Besides the development of less damaging plasma processes their substitution by wet cleaning steps is in the focus of research and development. With further decreasing feature dimensions the development of wet cleaning processes has to include wetting issues like the non-wetting of small features due to the surface energy of the liquid or pattern collapse effects of low-k dielectric trenches due to high capillary forces This work at first focuses on the determination of the surface energetic character of common cleaning solutions for PERR and differently etched or ashed low-k dielectric surfaces by contact angle analysis, to outline which combinations of solid and liquid will be critical regarding their wetting behavior. Besides the determination of the wetting behavior the contact angle analysis turned out to be a fast and sensible analytic tool to understand the surface modifications introduced by different plasma processes and can help to understand the mechanisms of plasma damage of low-k dielectric surfaces. The analysis showed that especially polymerizing plasma etch processes produce a low-energetic low-k dielectric surface with a negligible polar energy contributions, which inhibits their wetting by high energetic water based cleaning solutions, which actually are favored by semiconductor manufacturers. The strategy to overcome these wetting issues followed in the present work is the reduction of the surface energy of the cleaning liquids by the application of surfactants. Several types of surfactants have been applied to the cleaning liquids and the compatibility of the surfactant solutions to BEOL materials like low-k dielectrics, copper and diffusion barriers as well as their dynamic behavior has been studied. The analysis showed that choosing the appropriate rinsing solution after the cleaning process is essential to ensure its compatibility to porous low-k dielectrics. Optical, electrical and structural data indicated that DIW rinse in most of the cases was not able to remove residual surfactant species within the material, while for an IPA rinse most of the residual surfactants have been removed. Considering the data received for compatibility to low-k materials, copper and barriers, the dynamic behavior of the surfactant solutions as well as influences of increased bath temperature and long term stability a general advice about surfactant selection and processing of surfactant aided solutions within BEOL is given. / In mehrlagigen Kupfer/low-k basierten Metallisierungssystemen hochintegrierter elektronischer Bauelemente kann die Entfernung von Residuen nach der Plasmastrukturierung des Dielektrikums mittels herkömmlicher Plasmareinigungsprozesse zur Schädigung der Isolatorschicht und damit zum Ansteigen der relativen Dielektrizitätszahl sowie der Leckströme führen. Neben der Entwicklung schädigungsarmer Plasmaprozesse stellt der Ersatz dieser Prozesse durch Nassreinigungsschritte zur Ätzresiduenentfernung eine vielversprechende Alternative dar. Mit stetig abnehmenden Strukturabmaßen ist bei der Entwicklung dieser Nassreinigungsprozesse neben der Materialkompatibilität auch das Benetzungsverhalten der Reinigungsflüssigkeit von entscheidender Bedeutung, da die Oberflächenenergie der Reinigungslösung das Eindringen dieser in kleinste Strukturen verhindern und es durch hohe Kapillarkräfte zum Kollaps von Grabenstrukturen im Dielektrikum kommen kann. In der vorliegenden Arbeit wurde zunächst mittels Kontaktwinkelanalyse die Oberflächenenergie verschieden prozessierter low-k Dielektrikaschichten sowie herkömmlicher Lösungen zur Entfernung von Ätzresiduen untersucht, um hinsichtlich ihres Benetzungsverhaltens besonders kritische Materialkombinationen aufzuzeigen. Neben der Bestimmung des Benetzungsverhaltens hat sich die Kontaktwinkelanalyse zur Oberflächenenergieberechnung als schnelle und empfindliche Methode zur Analyse der Auswirkung von Plasmaprozessen auf die Oberfläche von low-k Dielektrika erwiesen. Die Untersuchungen haben gezeigt, dass besonders polymerisierende Plasmaprozesse eine niederenergetische Oberfläche erzeugen, welche von den derzeit in der Halbleiterfertigung bevorzugten hochenergetischen wasserbasierten Reinigungslösungen nur schlecht benetzt wird. Um diesem Effekt entgegenzuwirken wurde in der vorliegenden Arbeit die Senkung der Oberflächenenergie der Reinigungslösungen durch Zugabe von Tensiden untersucht. Es wurden mehrere Tenside unterschiedlichen Typs den Reinigungsflüssigkeiten zugemischt und die Kompatibilität dieser Lösungen mit low-k Dielektrika, Kupferschichten und Diffusionsbarrieren untersucht sowie ihr dynamisches Verhalten analysiert. Dabei hat sich gezeigt, dass die Auswahl der geeigneten Spüllösung nach dem eigentlichen Reinigungsprozess von entscheidender Bedeutung ist. Optische, elektrische sowie strukturelle Daten deuten darauf hin, dass bei Verwendung einer Spülung mit deionisiertem Wasser in den meisten Fällen Tensidrückstände im porösen Dielektrikum verbleiben. Eine Spülung mit Isopropanol war hingegen in der Lage, einen Großteil dieser Tensidrückstände zu entfernen. Unter Einbeziehung der Daten zur Materialkompatibilität und dem dynamischen Verhalten der Tensidlösungen bei Raumtemperatur und erhöhter Badtemperatur sowie ihrer Langzeitstabilität konnte schließlich eine Prozessempfehlung für die Verwendung der benetzungsoptimierten Reinigungslösungen in der BEOL-Prozessierung gefunden werden.
9

Antimikrobielle Beschichtung kieferorthopädischer Ligaturenringe mit Silber und Bismut / Antimicrobial coating of orthodontic elastomeric ligatures with silver and bismuth

Griesmüller, Carolin 07 May 2019 (has links)
No description available.
10

In-vitro-Untersuchung zur initialen Biofilmbildung auf dentalen Kompositmaterialien / In-vitro-study of initial biofilm formation on different dental composite materials

Elle, Hans-Jörg 23 October 2018 (has links)
No description available.

Page generated in 0.4564 seconds