Return to search

Copper Nanowires Synthesis and Self-Assembly for Interconnect Applications

One-dimensional (1D) nanomaterial self-assembly offers an excellent approach to the fabrication of highly complex nanodevices. Despite considerable effort and research, precisely controlling the orientation and positioning of nanowires (NWs) on a large-scale area and assembling into a functional device is still a state of the art problem. This thesis focuses on the dimensionally controlled copper nanowires (Cu NWs) synthesis, and magnetic field assisted self-assembly of cupronickel nanowires (Cu/Ni NWs) into interconnect structures on a carbon doped silicon dioxide (CDO) wafer.
CDO is a low dielectric constant (k) material used for copper interconnects in multilayered complex integrated circuits (ICs). Here, a strong affinity of copper (Cu) and nickel (Ni) to thiol (-SH) functional groups were exploited to strongly adhere the nanowires (Cu/Ni NWs) onto the CDO substrate. Thiol (-SH) functionalization of the CDO surface was achieved via a series of reactions involving (1) esterification of the surface exposed ≡Si-OH functional group to its triflate (≡Si-O-Tf), (2) reduction of triflate to ≡Si-H using DIBAL-H, and (3) hydrosilylation of ≡Si-H using 2-propene thiol (≡Si-(CH2)3-SH) in a photochemical reaction. The thiol functionalization of CDO surface enhances the interaction of Cu/Ni NWs with strong chemical bonds. The same reaction scheme was also used in the functionalization of the hydrophilic (Si-OH) surface to the hydrophobic long alkyl chain derivatized (≡Si-CH2-(CH2)16-CH3) surface. This long alkyl chain modified surface acts as an excellent moisture resistant film, which helps to maintain the low-k value of CDO.
The dimensionally controlled Cu NWs were synthesized by a wet chemical approach. Optimization of the reducing agent, hydrazine (N2H4), controlled the surface morphology of nanowires (NWs). Interestingly, the high concentration of reducing agent produced particle decorated and/or with a rough NW surface, and conversely decreasing its concentration resulted in a comparatively thin, particle-free and smooth surface. The reaction temperature affected the aspect ratio (Length/Diameter) of the NWs. As the reaction temperature increased from 60 to 90 °C, the aspect ratio decreased from 140 to 21.
Controlling the orientation of Cu NWs in a magnetic field was accomplished by coating them with a thin layer (~20 nm) of ferromagnetic nickel (Ni). This Ni-coated NWs showed an excellent degree of alignment (half-width ≈10 degrees) in the direction of an applied magnetic field over a large surface area at field strength as low as 2500 Gauss. Also, the Ni coating helped in protecting the copper core from oxidation resulting in better electrical wire-to-wire contacts.
A nanowire-based interconnect channel was fabricated by combining magnetic field assisted alignment and deposition of aligned NWs on a thiol-modified and photolithography patterned CDO substrate. The NWs, deposited in the trenches, strongly bonded to the thiol-derivatized CDO substrate while an acetone wash removed loosely bound NWs on the photoresist surface. In electrical characterization, the directionally well-aligned Cu/Ni NWs channel displayed surprisingly two-fold higher conductivity than randomly arranged NWs channel.

Identiferoai:union.ndltd.org:pdx.edu/oai:pdxscholar.library.pdx.edu:open_access_etds-5043
Date05 December 2017
CreatorsDarmakkolla, Srikar Rao
PublisherPDXScholar
Source SetsPortland State University
Detected LanguageEnglish
Typetext
Formatapplication/pdf
SourceDissertations and Theses

Page generated in 0.002 seconds