Return to search

Applications of Two-Dimensional Layered Materials in Interconnect Technology

<p>Copper (Cu) has been used as
the main conductor in interconnects due to its low resistivity. However,
because of its high diffusivity, diffusion barriers/liners (tantalum
nitride/tantalum; TaN/Ta) must be incorporated to surround Cu wires. Otherwise,
Cu ions/atoms will drift/diffuse through the inter-metal dielectric (IMD) that
separates two distinct interconnects, resulting in circuit shorting and chip
failures. The
scaling limit of conventional Cu diffusion barriers/liners has become the
bottleneck for interconnect technology, which in turn limits the IC
performance. The interconnect
half-pitch size will reach ~20 nm in the coming sub-5 nm technology nodes.
Meanwhile, the TaN/Ta (barrier/liner) bilayer stack has to be > 4 nm to
ensure acceptable liner and diffusion barrier properties. Since TaN/Ta occupy a
significant portion of the interconnect cross-section and they are much more
resistive than Cu, the effective conductance of an ultra-scaled interconnect
will be compromised by the thick bilayer. Therefore, two dimensional (2D) layered materials have been
explored as diffusion barrier alternatives owing to their atomically thin body thicknesses. However, many of the proposed 2D
barriers are prepared at too high temperatures to be compatible with the
back-end-of-line (BEOL) technology. In addition, as important as the diffusion
barrier properties, the liner properties of 2D materials must be evaluated,
which has not yet been pursued. </p>

The objective of the
thesis is to develop a 2D barrier/liner that overcomes the issues mentioned.
Therefore, we first visit various 2D layered materials to understand their
fundamental capability as barrier candidates through theoretical calculations. Among
the candidates, hexagonal-boron-nitride (h-BN) and molybdenum disulfide (MoS<sub>2</sub>)
are selected for experimental studies. In addition to studying their fundamental properties to know their
potential, we have also developed techniques that can realize
low-temperature-grown 2D layered materials. Metal-organic
chemical vapor deposition (MOCVD)
is adopted for the synthesis of BEOL-compatible MoS<sub>2</sub>. The electrical
test results demonstrate the promises of integrating 2D layered materials to
the state-of-the-art interconnect technology. Furthermore, by considering not
only diffusion barrier properties but also liner properties, we develop another
2D layered material, tantalum sulfide (TaS<sub>x</sub>), using plasma-enhanced chemical vapor deposition (PECVD). The TaS<sub>x</sub> is promising in
both barrier and liner aspects and is BEOL-compatible. Therefore, we believed
that the conventional TaN/Ta bilayer stack can be
replaced with an ultra-thin TaS<sub>x</sub> layer to maximize the Cu volume for
ultra-scaled interconnects and
improve the performance. Furthermore,
Since via resistance has become the bottleneck for
overall interconnect performance, we study the vertical conduction of TaS<sub>x</sub>.
Both the intrinsic and extrinsic properties of this material are investigated
and engineering approaches to improve the vertical conduction are also tested. Finally,
we explore the possibilities of benefiting from 2D materials in other
applications and propose directions for future studies.

  1. 10.25394/pgs.12912536.v1
Identiferoai:union.ndltd.org:purdue.edu/oai:figshare.com:article/12912536
Date14 September 2020
CreatorsChun-Li Lo (9337943)
Source SetsPurdue University
Detected LanguageEnglish
TypeText, Thesis
Relationhttps://figshare.com/articles/thesis/Applications_of_Two-Dimensional_Layered_Materials_in_Interconnect_Technology/12912536

Page generated in 0.0058 seconds