Return to search

Templated dewetting of thin solid films

Thesis (Ph. D.)--Massachusetts Institute of Technology, Dept. of Materials Science and Engineering, 2009. / This electronic version was submitted by the student author. The certified thesis is available in the Institute Archives and Special Collections. / Cataloged from student submitted PDF version of thesis. / Includes bibliographical references (p. 175-179). / The dewetting of solid metal polycrystalline films to form metal nanoparticles occurs by the nucleation and growth of holes in the film. For typical films on flat substrates, this process is not well-controlled and results in nanoparticles with nonuniform spatial and size distributions. Topographic substrates consisting of di-periodic inverted pyramid arrays and mono -periodic v-groove gratings of oxidized silicon were used to modulate the surface curvature of as-deposited polycrystalline gold films and control the dewetting process. The morphology of films dewetted on topographic substrates was found to depend on the both the relative geometry of the substrate and film thickness. Relatively thick films dewetted out of the pits and grooves prior to breaking up into particles while thinner films pinched off to form particles in the pits and grooves. If the pits or grooves were far apart, the pinch off also resulted in particles forming on the mesas between the pits. If the pits or grooves are close together, all the material pinches off into the topography. In the case of the inverted pyramids, this resulted in spatially ordered arrays of nanoparticles with narrow size distributions. A model that explains and predicts the effect of the relative geometry was developed based on competition between curvaturedriven evolution of the film-atmosphere interface and the dewetting of the film-substrate interface. It was also found that particles in both types of topographic substrates are strongly crystallographically oriented both out of the plane of the substrate and in the plane of the substrate despite the lack of an epitaxial relationship with the amorphous template. / (cont.) During the solid-state dewetting process, the growth of holes in the film is accompanied by material accumulation along the edge of the hole. Investigation of the dewetting edge at early stages revealed that the accumulation occurs unevenly in individual grains. Electron backscatter diffraction revealed that the unevenness is not due to grain orientation. / by Amanda L. Giermann. / Ph.D.

Identiferoai:union.ndltd.org:MIT/oai:dspace.mit.edu:1721.1/52789
Date January 2009
CreatorsGiermann, Amanda L. (Amanda Leah)
ContributorsCarl V. Thompson., Massachusetts Institute of Technology. Dept. of Materials Science and Engineering., Massachusetts Institute of Technology. Dept. of Materials Science and Engineering.
PublisherMassachusetts Institute of Technology
Source SetsM.I.T. Theses and Dissertation
LanguageEnglish
Detected LanguageEnglish
TypeThesis
Format190 p., application/pdf
RightsM.I.T. theses are protected by copyright. They may be viewed from this source for any purpose, but reproduction or distribution in any format is prohibited without written permission. See provided URL for inquiries about permission., http://dspace.mit.edu/handle/1721.1/7582

Page generated in 0.0117 seconds