Return to search

Performance monitoring of run-to-run control systems used in semiconductor manufacturing

Monitoring and diagnosis of the control system, though widely used in the chemical processing industry, is currently lacking in the semiconductor manufacturing industry. This work provides methods for performance assessment of the most commonly used control system in this industry, namely, run-to-run process control. First, an iterative solution method for the calculation of best achievable performance of the widely used run-to-run Exponentially Weighted Moving Average (EWMA) controller is derived. A normalized performance index is then defined based on the best achievable performance. The effect of model mismatch in the process gain and disturbance model parameter, delays, bias changes and nonlinearity in the process is then studied. The utility of the method under manufacturing conditions is tested by analyzing three processes from the semiconductor industry. Missing measurements due to delay are estimated using the disturbance model for the process. A minimum norm estimation method coupled with Tikhonov regularization is developed. Simulations are then carried out to investigate disturbance model mismatch, gain mismatch and different sampling rates. Next, the forward and backward Kalman filter are applied to obtain the missing values and compared with previous examples. Manufacturing data from three processes is then analyzed for different sampling rates. Existing methods are compared with a new method for state estimation in high-mix manufacturing. The new method is based on a random walk model for the context states. This approach is also combined with the recursive equations of the Kalman filter. The method is applied to an industrial exposure process by extending the random walk model into an integrated moving average model and weights used to give preference to the context that is more frequent. Finally, a performance metric is derived for PID controllers, when they are used to control nonlinear processes. Techniques to identify nonlinearity in a process are introduced and polynomial NARX models are proposed to represent a nonlinear process. A performance monitoring technique used for MIMO processes is then applied. Finally, the method is applied to an EWMA control case used before, a P/PI control case from literature and two cases from the semiconductor industry. / text

Identiferoai:union.ndltd.org:UTEXAS/oai:repositories.lib.utexas.edu:2152/17721
Date31 August 2012
CreatorsPrabhu, Amogh V., 1983-
Source SetsUniversity of Texas
LanguageEnglish
Detected LanguageEnglish
Formatelectronic
RightsCopyright is held by the author. Presentation of this material on the Libraries' web site by University Libraries, The University of Texas at Austin was made possible under a limited license grant from the author who has retained all copyrights in the works.

Page generated in 0.002 seconds