Return to search

The Application and Limitations of PECVD for Silicon-based Photonics

This thesis presents results on the applications and limitations of plasma enhanced chemical vapour deposition for silicon-based photonics, with an emphasis on optical microcavities for the control of light emission from silicon nanocrystals.

Silicon nanocrystals were formed by precipitation and growth within Si-rich oxide layers (SiOx) deposited by plasma enhanced chemical vapour deposition. The films were found to exhibit strong room temperature photoluminescence, with the optimum emission depending
on the composition and processing of the films. The strongest emission was achieved for films with a silicon content of ~40%, following hydrogen passivation. Hydrogen was introduced into the samples by two different methods: by annealing in forming gas (95% N2: 5% H2) or by annealing with a hydrogenated silicon nitride capping layer. Both methods caused an increase in photoluminescence intensity due to the passivation of defects. In contrast, the presence of low levels of iron and gold were shown to reduce the concentration of luminescent nanocrystals due to the creation of non-radiative centres.

Optical microcavity structures containing silicon nanocrystals were also fabricated by Plasma enhanced chemical vapour deposition, using silicon dioxide, silicon nitride and silicon-rich oxide layers. The microcavities consisted of a silicon-rich oxide layer between two distributed Bragg reflectors formed of alternating silicon dioxide/nitride layers. The optical emission from these and related structures were examined and compared with that from individual layers in the structure. This revealed a complex interplay between defect and nanocrystal luminescence, hydrogen passivation and materials structure. The resulting microcavity structures were shown to be suitable for producing a stop-band over the wavelength range of interest for nanocrystal emission, 500-1000nm, and to produce significant intensity enhancement and spectral narrowing. Quality factors of 50-200 were demonstrated.

The application of plasma deposited films was shown to be limited by stress-induced failure that resulted in cracking and delamination of the films during annealing. The SiOx films thicker than about 600nm failed predominantly by cracking. This was shown to be caused by tensile stress in the film caused by hydrogen desorption during high temperature annealing. The resulting cracks showed preferred alignment depending on the crystallographic orientation of the silicon substrate. For films deposited on (100) silicon, two modes of crack propagation were observed, straight cracks aligned along < 100> directions, and wavy cracks aligned along < 110> directions. For films deposited on (110) silicon, straight cracks were observed along [-1 10] directions, with a lesser number aligned along [001] directions. Cracks were also observed for films on (111) silicon. These showed
3-fold symmetry consistent with crack propagation along < 211> directions due to plastic deformation. Details of these crack geometries and their dependencies are discussed.

Identiferoai:union.ndltd.org:ADTP/216840
Date January 2006
CreatorsSpooner, Marc, mas109@rsphysse.anu.edu.au
PublisherThe Australian National University. Research School of Physical Sciences and Engineering
Source SetsAustraliasian Digital Theses Program
LanguageEnglish
Detected LanguageEnglish
Rightshttp://www.anu.edu.au/legal/copyrit.html), Copyright Marc Spooner

Page generated in 0.0021 seconds