Return to search

Tratamento de requisitos não-funcionais em sistemas de tempo-real embarcados implementados em VHDL/FPGA / Non-functional requirements handling in real-time embedded systems developed in FPGA/VHDL

Made available in DSpace on 2016-12-12T20:22:51Z (GMT). No. of bitstreams: 1
MARCELA LEITE.pdf: 8156863 bytes, checksum: 678c8b87d0e5cb9954f9b90176517400 (MD5)
Previous issue date: 2014-03-21 / Coordenação de Aperfeiçoamento de Pessoal de Nível Superior / This work proposes a design approach for FPGA-based embedded system. Such an approach integrates concepts and techniques from Model Driven Engineering and Aspect Oriented Software Development approaches, in order to systematize and automate the design process. AOSD concepts are used to handle non-functional requirements in FPGA-based embedded system development, in conjunction with a set of metrics to control the project constraints. A subset of relevant non-functional requirements for FPGA-based embedded system, as well as a set of metrics to evaluate these requirements, have been identified through a survey of literature. This subset of non-functional requirements composes an aspect-oriented framework for the FPGA platform, including aspects and their implementation in VHDL. In this sense, new aspects have been included in the Distributed Real-time Aspects Framework (DERAF). Moreover, to support the proposed approach, a code generation tool was enhanced to enable the generation of VHDL descriptions from UML models. A set of mapping rules have been defined to perform this UML-to- VHDL transformation. In order to validate the proposed approach, three case studies have been performed. The obtained results demonstrate the feasibility of combining AOSD and MDE in order to handle non-functional requirements in the design of systems through hardware description languages, such as VHDL. In addition, the modularization achieved by using AOSD affects positively the final embedded system, improving the overall system performance, as well as it contributes to the fulfillment of system requirements, time-to-market, reusability and manutenability of design artifacts, such as models and component descriptions. / Este trabalho apresenta uma abordagem de desenvolvimento de sistemas embarcados implementados em FPGA, que agrega técnicas de MDE e AOSD com o objetivo de sistematizar e automatizar o processo de desenvolvimento. Propõe-se o tratamento e gerenciamento dos requisitos não funcionais para sistemas embarcados desenvolvidos na plataforma FPGA, com o uso do paradigma orientado a aspectos e de métricas que possibilitem o controle no cumprimento
das restrições do projeto. Para tanto, a geração do código VHDL a partir do modelo especificado na UML foi implementada neste trabalho. Para essa transformação, um conjunto de regras de mapeamento dos elementos da UML para VHDL foi criado. A partir da análise da literatura foi detalhado um conjunto de requisitos não funcionais para projetos implementados em FPGA, que constituem o framework de aspectos para essa plataforma. Novos aspectos foram incluídos no DERAF e implementadas regras de mapeamento para esses. Foram desenvolvidos três estudos de caso utilizando a abordagem e o conjunto de regras de mapeamento criado, nos quais foram implementados três aspectos que tratam requisitos não funcionais dessas aplicações. Com as regras de mapeamento implementadas, foi possível a geração completa do código VHDL, funcional e sintetizável. O uso das métricas identificadas permitiu uma avaliação mais precisa da eficácia da utilização da abordagem proposta. Os resultados encontrados, mostram que a utilização da orientação a aspectos para o tratamento de requisitos não funcionais na descrição de hardware em VHDL, melhora o desempenho do sistema, tem alto impacto sobre o sistema final e contribui para o atendimento de requisitos de projeto como time-to-market, reusabilidade e manutenabilidade

Identiferoai:union.ndltd.org:IBICT/oai:tede.udesc.br #179.97.105.11:handle/2037
Date21 March 2014
CreatorsLeite, Marcela
ContributorsVasconcellos, Cristiano Damiani
PublisherUniversidade do Estado de Santa Catarina, Mestrado em Computação Aplicada, UDESC, BR, Computação
Source SetsIBICT Brazilian ETDs
LanguagePortuguese
Detected LanguageEnglish
Typeinfo:eu-repo/semantics/publishedVersion, info:eu-repo/semantics/masterThesis
Formatapplication/pdf
Sourcereponame:Biblioteca Digital de Teses e Dissertações da UDESC, instname:Universidade do Estado de Santa Catarina, instacron:UDESC
Rightsinfo:eu-repo/semantics/openAccess

Page generated in 0.0017 seconds