Return to search

Asymmetric clustering using a register cache

Graduation date: 2006 / Conventional register files spread porting resources uniformly across all registers. This paper proposes a method called Asymmetric Clustering using a Register Cache (ACRC). ACRC utilizes a fast register cache that concentrates valuable register file ports to the most active registers thereby reducing the total register file area and power consumption. A cluster of functional units and a highly ported register cache execute the majority of instructions, while a second cluster with a full register file having fewer read ports processes instructions with source registers not found in the register cache. An ‘in-cache’ marking system tracks the contents of the register cache and routes instructions to the correct cluster. This system utilizes logic similar to the ‘ready’ bit system found in wake-up and select logic keeping the additional logic required to a minimum. When using a 256-entry register file, this design reduces the total register file area by an estimated 65% while exhibiting similar IPC performance compared to a non-clustered 8-way processor. As the feature size becomes smaller and processor clocks become faster, the number of clock cycles needed to access the register file will increase. Therefore, the smaller register file area requirement and subsequent smaller register file delay of ACRC will lead to better IPC performance than conventional processors.

Identiferoai:union.ndltd.org:ORGSU/oai:ir.library.oregonstate.edu:1957/1689
Date18 April 2006
CreatorsMorrison, Roger Allen
ContributorsLee, Ben, Lu, Shih-Lien, Lee, Shiwoo, Nguyen, Thinh
Source SetsOregon State University
Languageen_US
Detected LanguageEnglish
TypeThesis
Format1092653 bytes, application/pdf

Page generated in 0.0022 seconds