Return to search

Detecting Tangled Logic Structures in VLSI Netlists

This thesis proposes a new problem of identifying large and tangled logic structures in a
synthesized netlist. Large groups of cells that are highly interconnected to each other can
often create potential routing hotspots that require special placement constraints. They can
also indicate problematic clumps of logic that either require resynthesis to reduce wiring
demand or specialized datapath placement. At a glance, this formulation appears similar
to conventional circuit clustering, but there are two important distinctions. First, we are
interested in finding large groups of cells that represent entire logic structures like adders
and decoders, as opposed to clusters with only a handful of cells. Second, we seek to pull
out only the structures of interest, instead of assigning every cell to a cluster to reduce
problem complexity. This work proposes new metrics for detecting structures based on
Rent’s rule that, unlike traditional cluster metrics, are able to fairly differentiate between
large and small groups of cells. Next, we demonstrate how these metrics can be applied to
identify structures in a netlist. Finally, our experiments demonstrate the ability to predict
and alleviate routing hotspots on a real industry design using our metrics and method.

Identiferoai:union.ndltd.org:tamu.edu/oai:repository.tamu.edu:1969.1/ETD-TAMU-2010-08-8511
Date2010 August 1900
CreatorsJindal, Tanuj
ContributorsHu, Jiang
Source SetsTexas A and M University
Languageen_US
Detected LanguageEnglish
TypeBook, Thesis, Electronic Thesis, text
Formatapplication/pdf

Page generated in 0.0024 seconds