• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 3
  • Tagged with
  • 3
  • 3
  • 3
  • 3
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 1
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

Electrochemical Studies in Fluoride Based Solutions for Semiconductor Processing Applications

Venkataraman, Nandini January 2010 (has links)
Fluoride based chemical systems are widely used at various stages in microelectronic processing, particularly for wet cleaning and etching applications. Some examples include the use of semi aqueous fluoride (SAF) solutions in back end of line cleaning, the use of dilute HF solutions as etchants for SiO2 and HF-HNO3 or HF-H2O2 solutions as isotropic etchants for silicon. In this work, the use of fluoride based solutions for two applications relevant to semiconductor processing are considered.In the first part of the study, cleaning of post plasma etch residues generated during fabrication of copper damascene structures was investigated in semi aqueous fluoride (SAF) formulations based on dimethyl sulfoxide and ammonium fluoride. Formulations designed for residue removal should be able to remove the residue effectively, without causing critical dimension loss during the process cycle. A systematic evaluation of solution variables (solvent content and pH) was conducted and the extent of removal of model copper oxide films and selectivity over copper and carbon doped oxide (CDO) films were used as metrics to evaluate the formulations. Results of the study indicate that the presence of solvent is necessary to achieve reasonable etch selectivity over dielectric films. Additionally, a removal end point detection technique based on electrochemical impedance spectroscopy was developed, which could potentially help in the optimization of cleaning time with minimal dielectric loss. This method was applied to monitor the removal of copper oxide films as well as residue from patterned test structures.In the second part of the study, electrochemical formation of porous silicon films in hydrofluoric acid (HF) solutions was investigated, for potential applications in advanced packaging. Specifically, porous silicon formation in solution mixtures containing HF, acetic acid and peroxide, was studied. The effect of variables including current density, substrate resistivity, HF, acetic acid and peroxide concentration, on key porous film characteristics such as growth rate, porosity and microstructure, was explored. Addition of peroxide was found to significantly increase the porosity and growth rate of the film, as a result of enhanced chemical dissolution and films with porosities as high as 95% were obtained. Additionally, in solutions containing peroxide, a variety of microstructural features, such as nanopores, micron sized pores, truncated pyramidal structures and silicon needles were observed, under various fabrication conditions.
2

Use of Formulations Based On Choline Chloride-Malonic Acid Deep Eutectic Solvent for Back End of Line Cleaning in Integrated Circuit Fabrication

Taubert, Jenny January 2013 (has links)
Interconnection layers fabricated during back end of line processing in semiconductor manufacturing involve dry etching of a low-k material and deposition of copper and metal barriers to create copper/dielectric stacks. After plasma etching steps used to form the trenches and vias in the dielectric, post etch residues (PER) that consist of organic polymer, metal oxides and fluorides, form on top of copper and low-k dielectric sidewalls. Currently, most semiconductor companies use semi aqueous fluoride (SAF) based formulations containing organic solvent(s) for PER removal. Unfortunately, these formulations adversely impact the environmental health and safety (EHS) requirements of the semiconductor industry. Environmentally friendly "green" formulations, free of organic solvents, are preferred as alternatives to remove PER. In this work, a novel low temperature molten salt system, referred as deep eutectic solvent (DES) has been explored as a back end of line cleaning (BEOL) formulation. Specifically, the DES system comprised of two benign chemicals, malonic acid (MA) and choline chloride (CC), is a liquid at room temperature. In certain cases, the formulation was modified by the addition of glacial acetic acid (HAc). Using these formulations, selective removal of three types of PER generated by timed CF₄/O₂ etching of DUV PR films on Cu was achieved. Type I PER was mostly organic in character (fluorocarbon polymer type) and had a measured thickness of 160 nm. Type II PER was much thinner (25 nm) and consisted of a mixture of organic and inorganic compounds (copper fluorides). Further etching generated 17 nm thick Type III PER composed of copper fluorides and oxides. Experiments were also conducted on patterned structures. Cleaning was performed by immersing samples in a temperature controlled (30 or 40° C) double jacketed vessel for a time between 1 and 5 minutes. Effectiveness of cleaning was characterized using SEM, XPS and single frequency impedance measurements. Type II and III residues, which contained copper compounds were removed in CC/MA DES within five minutes through dissolution and subsequent complexation of copper by malonic acid. Removal of Type I PER required the addition of glacial acetic acid to the DES formulation. Single frequency impedance measurement appears to be a good in situ method to follow the removal of the residues. High water solubility of the components of the system in conjunction with their environmental friendly nature, make the DES an attractive alternative to SAF.
3

USE OF DILUTE HYDROFLUORIC ACID AND DEEP EUTECTIC SOLVENT SYSTEMS FOR BACK END OF LINE CLEANING IN INTEGRATED CIRCUIT FABRICATION

Padmanabhan Ramalekshmi Thanu, Dinesh January 2011 (has links)
Fabrication of current generation integrated circuits involves the creation of multilevel copper/low-k dielectric structures during the back end of line processing. This is done by plasma etching of low-k dielectric layers to form vias and trenches, and this process typically leaves behind polymer-like post etch residues (PER) containing copper oxides, copper fluorides and fluoro carbons, on underlying copper and sidewalls of low-k dielectrics. Effective removal of PER is crucial for achieving good adhesion and low contact resistance in the interconnect structure, and this is accomplished using wet cleaning and rinsing steps. Currently, the removal of PER is carried out using semi-aqueous fluoride based formulations. To reduce the environmental burden and meet the semiconductor industry's environmental health and safety requirements, there is a desire to completely eliminate solvents in the cleaning formulations and explore the use of organic solvent-free formulations.The main objective of this work is to investigate the selective removal of PER over copper and low-k (Coral and Black Diamond®) dielectrics using all-aqueous dilute HF (DHF) solutions and choline chloride (CC) - urea (U) based deep eutectic solvent (DES) system. Initial investigations were performed on plasma oxidized copper films. Copper oxide and copper fluoride based PER films representative of etch products were prepared by ashing g-line and deep UV photoresist films coated on copper in CF4/O2 plasma. PER removal process was characterized using scanning electron microscopy and X-ray photoelectron spectroscopy and verified using electrochemical impedance spectroscopy measurements.A PER removal rate of ~60 Å/min was obtained using a 0.2 vol% HF (pH 2.8). Deaeration of DHF solutions improved the selectivity of PER over Cu mainly due to reduced Cu removal rate. A PER/Cu selectivity of ~20:1 was observed in a 0.05 vol% deaerated HF (pH 3). DES systems containing 2:1 U/CC removed PER at a rate of ~10 and ~20 Å/min at 40 and 70oC respectively. A mixture of 10-90 vol% de-ionized water (W) with 2:1 U/CC in the temperature range of 20 to 40oC also effectively removed PER. Importantly, etch rate of copper and low-k dielectric in DES formulations were lower than that in conventional DHF cleaning solutions.

Page generated in 0.1161 seconds