• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 43
  • 14
  • 9
  • 5
  • 5
  • 2
  • 2
  • 2
  • 2
  • 1
  • 1
  • Tagged with
  • 97
  • 15
  • 13
  • 12
  • 11
  • 10
  • 9
  • 8
  • 8
  • 7
  • 7
  • 6
  • 6
  • 6
  • 6
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

Interfacial forces in chemical-mechanical polishing

Ng, Dedy 15 May 2009 (has links)
The demand for microelectronic device miniaturization requires new concepts and technology improvement in the integrated circuits fabrication. In last two decades, Chemical-Mechanical Polishing (CMP) has emerged as the process of choice for planarization. The process takes place at the interface of a substrate, a polishing pad, and an abrasive containing slurry. This synergetic process involves several forces in multi-length scales and multi-mechanisms. This research contributes fundamental understanding of surface and interface sciences of microelectronic materials with three major objectives. In order to extend the industrial impact of this research, the chemical-mechanical polishing (CMP) is used as a model system for this study. The first objective of this research is to investigate the interfacial forces in the CMP system. For the first time, the interfacial forces are discussed systematically and comparatively so that key forces in CMP can be pinpointed. The second objective of this research is to understand the basic principles of lubrication, i.e., fluid drag force that can be used to monitor, evaluate, and optimize CMP processes. New parameters were introduced to include the change of material properties during CMP. Using the experimental results, a new equation was developed to understand the principle of lubrication behind the CMP. The third objective is to study the synergy of those interfacial forces with electrochemistry. The electro-chemical-mechanical polishing (ECMP) of copper was studied. Experiments were conducted on the tribometer in combination with a potentiostat. Friction coefficient was used to monitor the polishing process and correlated with the wear behavior of post-CMP samples. Surface characterization was performed using AFM, SEM, and XPS techniques. Results from experiments were used to generate a new wear model, which provided insight from CMP mechanisms. The ECMP is currently the newest technique used in the semiconductor industries. This research is expected to contribute to the CMP technology and improve its process performance. This dissertation consists of six chapters. The first chapter covers the introduction and background information of surface forces and CMP. The motivation and objectives are discussed in the second chapter. The three major objectives which include approaches and expected results are covered in the next three chapters. Finally chapter VI summarizes the major discovery in this research and provides some recommendations for future work.
2

Interfacial forces in chemical-mechanical polishing

Ng, Dedy 15 May 2009 (has links)
The demand for microelectronic device miniaturization requires new concepts and technology improvement in the integrated circuits fabrication. In last two decades, Chemical-Mechanical Polishing (CMP) has emerged as the process of choice for planarization. The process takes place at the interface of a substrate, a polishing pad, and an abrasive containing slurry. This synergetic process involves several forces in multi-length scales and multi-mechanisms. This research contributes fundamental understanding of surface and interface sciences of microelectronic materials with three major objectives. In order to extend the industrial impact of this research, the chemical-mechanical polishing (CMP) is used as a model system for this study. The first objective of this research is to investigate the interfacial forces in the CMP system. For the first time, the interfacial forces are discussed systematically and comparatively so that key forces in CMP can be pinpointed. The second objective of this research is to understand the basic principles of lubrication, i.e., fluid drag force that can be used to monitor, evaluate, and optimize CMP processes. New parameters were introduced to include the change of material properties during CMP. Using the experimental results, a new equation was developed to understand the principle of lubrication behind the CMP. The third objective is to study the synergy of those interfacial forces with electrochemistry. The electro-chemical-mechanical polishing (ECMP) of copper was studied. Experiments were conducted on the tribometer in combination with a potentiostat. Friction coefficient was used to monitor the polishing process and correlated with the wear behavior of post-CMP samples. Surface characterization was performed using AFM, SEM, and XPS techniques. Results from experiments were used to generate a new wear model, which provided insight from CMP mechanisms. The ECMP is currently the newest technique used in the semiconductor industries. This research is expected to contribute to the CMP technology and improve its process performance. This dissertation consists of six chapters. The first chapter covers the introduction and background information of surface forces and CMP. The motivation and objectives are discussed in the second chapter. The three major objectives which include approaches and expected results are covered in the next three chapters. Finally chapter VI summarizes the major discovery in this research and provides some recommendations for future work.
3

Dynamic thermal management in chip multiprocessor systems

Liu, Chih-Chun 15 May 2009 (has links)
Recently, processor power density has been increasing at an alarming rate result- ing in high on-chip temperature. Higher temperature increases current leakage and causes poor reliability. In our research, we ¯rst propose a Predictive Dynamic Ther- mal Management (PDTM) based on Application-based Thermal Model (ABTM) and Core-based Thermal Model (CBTM) in the multicore systems. Based on predicted temperature from ABTM and CBTM, the proposed PDTM can maintain the system temperature below a desired level by moving the running application from the possi- ble overheated core to the future coolest core (migration) and reducing the processor resources (priority scheduling) within multicore systems. Furthermore, we present the Thermal Correlative Thermal Management (TCDTM), which incorporates three main components: Statistical Workload Estimation (SWE), Future Temperature Estima- tion Model (FTEM) and Temperature-Aware Thread Controller (TATC), to model the thermal correlation e®ect and distinguish the thermal contributions from appli- cations with di®erent workload behaviors at run time in the CMP systems. The pro- posed PDTM and TCDTM enable the exploration of the tradeo® between throughput and fairness in temperature-constrained multicore systems.
4

Applications of Raman Spectroscopy in Cu-CMP and in BEOL Cleaning Chemistries

Kondoju, Siddartha January 2007 (has links)
In copper chemical mechanical planarization (CMP), in situ detection of barrier to dielectric layer transition is typically done using an optical reflectance technique. The introduction of carbon doped oxides (CDOs) as low-dielectric constant (k) materials for dielectric layers has opened up the possibility of using spectroscopic techniques for detecting such transitions more efficiently. The vibrational frequencies of the bonds between C, H, O, and Si in these low-k materials may be readily detected by spectroscopic techniques such as Raman and infrared (IR) spectroscopies. Since CMP is carried out in aqueous media, IR spectroscopy is not very desirable due to strong absorption of water in the same region as C-H vibrations (2800 cm⁻¹ to 3300 cm⁻¹). In contrast, Raman spectroscopy shows minimal water interference and can be used to efficiently monitor the signal from CDO films even in aqueous environments that prevail under CMP conditions. The research reported in this dissertation concerns the use of Raman spectroscopy in detecting the transition from tantalum (Ta) barrier layer to CDO dielectric layer, insitu. Intensities of Raman peaks characteristic of Si-Si vibrations from silicon substrates and C-H vibrations from low-k materials were used for monitoring CDO thickness and detecting removal of Ta layer. An abrasion cell was integrated with a Raman spectrometer to demonstrate the feasibility of Raman monitoring in-situ. Additionally, an alternative method was investigated for monitoring transitions in highly fluorescent low-k materials where Raman can not be used. The fluorescence intensity was used to effectively monitor Ta to low-k transitions. As a secondary objective, the Raman technique was used to monitor the composition of polishing slurries, which in the case of copper CMP, have a rich chemistry, which may change during the course of polishing due to consumption and decomposition of certain constituents. Various aspects, such as small layer thickness (<50 μm), continuous flow of the slurry, and dynamics of the film removal process pose a great challenge to the monitoring of slurry components between the pad and the wafer. The slurry constituents such as oxidants and corrosion inhibitors have unique signatures that can be detected using spectroscopic techniques. In this study Raman spectroscopy was used to detect and quantify chemical species such as hydroxylamine, benzotriazole and hydrogen peroxide in-situ. A more detailed study pertaining to the protonation of hydroxylamine with respect to the pH was also performed. Finally, surface enhanced Raman spectroscopy (SERS) was also investigated to improve the detection of pyridine and benzotriazole at low concentrations (<100 ppm).
5

Pad-Wafer and Brush-Wafer Contact Characterization in Planarization and Post-Planarization Processes

SUN, TING January 2009 (has links)
This dissertation presents a series of studies relating to pad-wafer and brush-wafer contact characterization in planarization and post-planarization processes. These are also evaluated with the purposes of minimizing environmental impact and reducting cost of ownership.Firstly, a new method using spectral analysis based on real-time raw friction data is developed to quantify the total amount of mechanical interaction in the brush-fluid-wafer interface in terms of stick-slip phenomena in post-planarization scrubbing. This new method is remarkable from the standpoint of its potential to eliminate having to perform a multitude of experiments needed for constructing and interpreting Stribeck curves, and its application to processes where Stribeck curves fail to yield any useful data. Moreover, this method is applied to investigate the effect of brush roller design on scrubbing process and to analyze behaviors of eccentric brushes.In order to study pad-wafer contact in planarization processes, a mechanical characterization method (incremental loading test) is developed and applied to analyze different types of pads and pad surfaces subjected to various treatments. Along with optical interferometry and theoretical analysis, flow resistance due to pad land area topography can be estimated.The greatest contribution of this dissertation involves development of real pad-wafer contact area measurement technique using confocal microscopy. The real pad-wafer contact area is a difficult property to measure in planarization, yet it is a key feature to further understand the process. A custom-made sample holder with a sapphire window and a miniature load cell is used to collect confocal images at controlled values of down force.At last, the two newly developed techniques (incremental loading test and real pad-wafer contact area measurement using confocal microscopy) together with dual emission UV enhanced fluorescence imaging are utilized to investigate conditioning effects in planarization process.
6

Treatment of Chemical Mechanical Polishing Wastewater by a Simultaneous Electrocoagulation/Electrofiltration Process

Chen, Fu-Cheng 10 February 2004 (has links)
In this work, a novel treatment module capable of simultaneously enacting electrocoagulation and electrofiltration was designed, fabricated, and tested aiming for the reclamation of CMP (chemical mechanical polishing) wastewater. In general, CMP wastewater contains sub-micron particles and has high alkalinity, turbidity, total solids content, and silica content. Discharge of CMP wastewater without proper treatment would pose a great threat to the environment and ecology. In this investigation, oxide CMP wastewater and mixed CMP wastewater were obtained from a wafer fab in Taiwan. They were characterized by various standard methods. In this study, the efficiency of this dual-function treatment module (using aluminum as the sacrificing anode and stainless steel as the cathode) was evaluated in terms of applied electric field strength (0 ~ 112.5 V/cm), influent velocity (112 ~136 cm/s), and transmembrane pressure (1.0 ~ 3.0 kgf/cm2) on permeate qualities. Experimental results have shown that the contents of total solids of permeates could be reduced to about 180 mg/L and 426 mg/L, respectively for oxide CMP wastewater and mixed CMP wastewater. The respective values of turbidity and total organic carbon could also be reduced to below 1 NTU and 1.5 mg/L. Therefore, the treated water could be reused as the feed water for the ultrapure water production system. In this study, an empirical equation was established to relate the quantity of filtrate and applied electric field strength when CMP wastewater was subjected to electrofiltration alone. It was found that the theoretical aluminum concentration released to the reaction chamber was much greater than the actual one. This would explain why the efficiency of electrocoagulation needs to be improved in this treatment module. Experimental results also have indicated that proper backflushing would be beneficial to the flux of permeate and saving of membrane cost.
7

Oxalic Acid Based Chemical Systems for Electrochemical Mechanical Planarization of Copper

Lowalekar, Viral Pradeep January 2006 (has links)
In an ECMP process, a wafer is anodically baised during polishing. The electrical potential is the driving force to oxidize copper metal to ions. Copper ions then react with chemistry in the electrolyte to go in solution or form a passivation layer on the surface. The passivation layer is removed by a very low downforce (0.5-1 psi), causing copper to electrochemically dissolve in solution. Passive film formation during copper ECMP is key to the success of this process, since passivation reduces dissolution in the recessed areas, while elevations on the copper surface in direct contact with the ECMP pad are electrochemically planarized. If no passive film forms, then copper removal will be conformal from the elevated and recessed areas, and planarity will be lost. Chemical formulations for the electrochemical mechanical planarization (ECMP) of copper must contain constituents that are stable at anodic potentials. A key component of the formulation is a corrosion inhibitor, which is required to protect low lying areas while higher areas are selectively removed. Organic compounds, which adsorb on copper at low overpotentials and form a film by oxidation at higher overpotentials, may be particularly useful for ECMP. The main goal of the research reported in this dissertation is to understand and develop oxalic acid-based chemical systems suitable for ECMP of copper through electrochemical and surface investigations. Special attention was paid to the development of an inhibitor, which can function under applied potential conditions. Physical methods such as profilometry and four point probe were used to obtain copper removal rates. An organic compound, thiosalicylic acid (TSA), was identified and tested as a potential corrosion inhibitor for copper. TSA offers better protection than the conventionally used benzotriazole (BTA) by oxidizing at high anodic potentials to form a passive film on the copper surface. The passive film formed on the copper surface by addition of TSA was characterized by X-ray photoelectron spectroscopy. The oxidation potential of TSA was characterized using cyclic voltammetry. The passivation and repassivation kinetics was investigated in detail and a passivation mechanism of copper in oxalic acid in the presence of TSA is proposed. Copper removal experiments were performed on a specially designed electrochemical abrasion cell (EC-AC) in both the presence and absence of inhibitors. The effect of anodic potentials on the dissolution of copper was studied to identify suitable conditions for the electro-chemical mechanical planarization process.
8

Nanoparticles removal in post-CMP (Chemical-Mechanical Polishing) cleaning

Ng, Dedy 30 October 2006 (has links)
Research was performed to study the particle adhesion on the wafer surface after the chemical-mechanical polishing (CMP) process. The embedded particles can be abrasive particles from the slurry, debris from pad material, and particles of film being polished. Different methods of particle removal mechanism were investigated in order to find out the most effective technique. In post-CMP cleaning, surfactant was added in the solution. Results were compared with cleaning without surfactant and showed that cleaning was more effective with the combined interaction of the mechanical effort from the brush sweeping and the chemistry of the surfactant in the solution (i.e., tribochemical interaction). Numerical analysis was also performed to predict the particle removal rate with the addition of surfactants. The van der Waals forces present in the wafer-particle interface were calculated in order to find the energy required to remove the particle. Finally, the adhesion process was studied by modeling the van der Waals force as a function of separation distance between the particle and the surface. The successful adaptation of elasticity theory to nanoparticle-surface interaction brought insight into CMP cleaning mechanisms. The model tells us that it is not always the case that as the separation distance is decreased, the attraction force will be increased. The force value estimated can be used for slurry design and CMP process estimation.
9

Nanoparticles removal in post-CMP (Chemical-Mechanical Polishing) cleaning

Ng, Dedy 30 October 2006 (has links)
Research was performed to study the particle adhesion on the wafer surface after the chemical-mechanical polishing (CMP) process. The embedded particles can be abrasive particles from the slurry, debris from pad material, and particles of film being polished. Different methods of particle removal mechanism were investigated in order to find out the most effective technique. In post-CMP cleaning, surfactant was added in the solution. Results were compared with cleaning without surfactant and showed that cleaning was more effective with the combined interaction of the mechanical effort from the brush sweeping and the chemistry of the surfactant in the solution (i.e., tribochemical interaction). Numerical analysis was also performed to predict the particle removal rate with the addition of surfactants. The van der Waals forces present in the wafer-particle interface were calculated in order to find the energy required to remove the particle. Finally, the adhesion process was studied by modeling the van der Waals force as a function of separation distance between the particle and the surface. The successful adaptation of elasticity theory to nanoparticle-surface interaction brought insight into CMP cleaning mechanisms. The model tells us that it is not always the case that as the separation distance is decreased, the attraction force will be increased. The force value estimated can be used for slurry design and CMP process estimation.
10

Treatment of Cu-CMP Waste Streams Containing Copper(II) using Polyethyleneimine (PEI)

Maketon, Worawan January 2007 (has links)
The semiconductor industry has been growing at a fast pace in the last several decades and this growth is expected to continue in the future. One process that is repeated several times in a microchip fabrication is the Chemical Mechanical Planarization (CMP). CMP is a critical process that must be employed after the metal deposition step to eliminate any topography over which the next layer must be processed. Today, copper interconnect is widely used. In addition to possess a high resistance to electro migration effects and low electrical resistivity, copper techniques require fewer (approximately 25%) processing steps. CMP and post-CMP cleaning processes are projected to account for 50 percent of the water consumed by fabrication's ultra pure water. While there are a variety of treatment schemes currently available for the removal of heavy metals from CMP wastewater streams, many introduce additional chemicals to the process, have large space requirement, or are not effective. Polyethyleneimine (PEI) is well known to use in the ion metal affinity chromatography (IMAC) due to the great metal ion binding abilities. While work has been conducted on the use of PEI on membrane filtration for binding metals from industrial wastewaters, the experiments performed in this research are novel with respect to the waste (Cu CMP) treated as well as the method of packed bed column treatment. This research focused primarily on the study of an alternative technique to remove both metal ions and metal-chelated complexes from Cu CMP wastewater streams. Not only copper, wastewater often contains chelating agents, surfactant, organic compounds, and inhibitors. Thus, most of the time copper ions form complexes with chelating agents, which made typical ion exchange resins ineffective. The work, then, explored the effect of components typically found in Cu CMP waste streams on the binding of copper ions to PEI. The competitive binding of copper between PEI and other complexing agents were also investigated. A secondary focus of this study was to fully develop and characterize the column performance and behavior. This includes the understanding of the chemistry of CMP waste characterization. This treatment technique using a PEI packed bed column showed great copper binding capacity. The column is capable of removing Cu CMP waste streams, which contain both copper ions and copper complexes, due to the unique ability of PEI that can play both cation and anion exchanger roles. This waste treatment technique is feasible for the semiconductor industry as large volumes of copper contaminated solutions from actual waste can be concentrated twelve-fold for metal recovery using hydrochloric acid. The adsorbent can be regenerated more than hundred of times with changing in the performance and the reproducibility.

Page generated in 0.0235 seconds