• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 2
  • Tagged with
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 1
  • 1
  • 1
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

Integração de características preemptivas à técnica de escalonamento dinâmico de tensões e frequências intra-tarefa

Gonçalves, Rawlinson da Silva 10 July 2015 (has links)
Submitted by Lúcia Brandão (lucia.elaine@live.com) on 2015-12-11T18:22:47Z No. of bitstreams: 1 Dissertação - Rawlinson da Silva Gonçalves.pdf: 25918994 bytes, checksum: 31dbbcde9e265b8281faa9ef25a9b346 (MD5) / Approved for entry into archive by Divisão de Documentação/BC Biblioteca Central (ddbc@ufam.edu.br) on 2016-01-20T15:20:18Z (GMT) No. of bitstreams: 1 Dissertação - Rawlinson da Silva Gonçalves.pdf: 25918994 bytes, checksum: 31dbbcde9e265b8281faa9ef25a9b346 (MD5) / Approved for entry into archive by Divisão de Documentação/BC Biblioteca Central (ddbc@ufam.edu.br) on 2016-01-20T15:23:25Z (GMT) No. of bitstreams: 1 Dissertação - Rawlinson da Silva Gonçalves.pdf: 25918994 bytes, checksum: 31dbbcde9e265b8281faa9ef25a9b346 (MD5) / Made available in DSpace on 2016-01-20T15:23:25Z (GMT). No. of bitstreams: 1 Dissertação - Rawlinson da Silva Gonçalves.pdf: 25918994 bytes, checksum: 31dbbcde9e265b8281faa9ef25a9b346 (MD5) Previous issue date: 2015-07-10 / FAPEAM - Fundação de Amparo à Pesquisa do Estado do Amazonas / Embedded systems have evolved significantly in recent years,mainlyduetoadvances in technology, cost reduction of electronic equipment, and mainly the popularization of mobile devices. Many of these systems require energy resources from battery to maintain the operation of their various components. However, for these devices to have a good autonomy, several techniques and methodologies have been implemented to better manage energy consumption of the system as a whole. This need has contributed to the rise of various lines of research, mainly in the area of real-time systems, where the complicating factor is not only reducing energy consumptionbutalsorespectthetime constraints of all tasks running on the system. Thus, this work aims to maximize energy gains from the use of intra-task dynamic voltage and frequency scaling technique, also known as intra-task DVFS. The proposed online methodology aims to achieve better management of exchanging voltages and frequency of the processor, through a collaborative approach between real-time applications and the operating system. Therefore, both can work together, within the kernel of the system, to reduce the response times of the processor context switches, mainly after preemptions. The experimental results, using the C-Benchmarck, showed that it is possible to decrease about 6% processor power consumption even performing all tasks in the worst case. / Os sistemas embarcados têm evoluído significativamente nos últimos anos, principalmente devido aos avanços da tecnologia, a redução dos custos dos equipamentos eletrônicos e a popularização dos dispositivos móveis. Muitos desses sistemas dependem da energia provenientes de baterias para manter o funcionamento dos seus diversos componentes. No entanto, para que esses dispositivos tenham uma boa autonomia, várias técnicas e metodologias têm sido propostas para melhor gerenciar o consumo de energia do sistema como um todo. Essa necessidade tem contribuído para o surgimento de diversas linhas de pesquisa, principalmente na área de sistemas de tempo real, onde o fator complicante não está somente em reduzir o consumo de energia, mas também em respeitar as restrições temporais de todas as tarefas em execução no sistema. Sendo assim, este trabalho tem como objetivo diminuir o consumo de energia do processador utilizando a técnica de escalonamento dinâmicodetensõesefrequênciasdo processador intra-tarefa, também conhecido como DVFS intra-tarefa (em inglês, Dynamic Voltage and Frequency Scaling). A metodologia online proposta visa realizar ogerenciamentodastrocasdetensõesefrequênciasdoprocessador, através de uma abordagem colaborativa entre as aplicações de tempo real e o sistema operacional. Dessa forma, ambos podem trabalhar em conjunto, dentro do núcleo do sistema, para diminuir os tempos de resposta dos chaveamentos de tensões e frequências do processador, principalmente diante de sucessivas preempções entre as aplicações de tempo real em execução no sistema. Os resultados experimentais dessa metodologia, utilizando o C-Benchmarck, mostraram que é possível diminuircercade6%oconsumo de energia do processador, mesmo executando todas as tarefasnopiorcaso.
2

Inserção de Código DVFS-Aware em Sistemas de tempo real críticos

Pinheiro, Diego Quintana 25 September 2015 (has links)
Submitted by Divisão de Documentação/BC Biblioteca Central (ddbc@ufam.edu.br) on 2016-11-24T12:43:54Z No. of bitstreams: 1 Dissertação - Diego Q. Pinheiro.pdf: 1711679 bytes, checksum: e41a75f9b4c8239fe90ffde9746a3501 (MD5) / Approved for entry into archive by Divisão de Documentação/BC Biblioteca Central (ddbc@ufam.edu.br) on 2016-11-24T12:45:04Z (GMT) No. of bitstreams: 1 Dissertação - Diego Q. Pinheiro.pdf: 1711679 bytes, checksum: e41a75f9b4c8239fe90ffde9746a3501 (MD5) / Approved for entry into archive by Divisão de Documentação/BC Biblioteca Central (ddbc@ufam.edu.br) on 2016-11-24T12:45:23Z (GMT) No. of bitstreams: 1 Dissertação - Diego Q. Pinheiro.pdf: 1711679 bytes, checksum: e41a75f9b4c8239fe90ffde9746a3501 (MD5) / Made available in DSpace on 2016-11-24T12:45:23Z (GMT). No. of bitstreams: 1 Dissertação - Diego Q. Pinheiro.pdf: 1711679 bytes, checksum: e41a75f9b4c8239fe90ffde9746a3501 (MD5) Previous issue date: 2015-09-25 / CAPES - Coordenação de Aperfeiçoamento de Pessoal de Nível Superior / Performance and energy consumption are directly related. To increase performance, the number of instructions per second to be executed must also be increased, in other words, processor frequency must be changed. The higher this value is, higher energy consumption also has to be. Likewise, by decreasing the number of instructions to be executed, energy consumption and performance are also reduced. So, exploring performance and energy relation is the key idea behind Dynamic Voltage and Frequency Scaling – DVFS, technique. Applying DVFS in real time systems is not a trivial task. These system’s tasks are bounded to timing constraints in such a way that, if decreasing performance does not guarantee constraints, the system may totally fail. Thus, this work aims to gather two DVFS approaches in real time systems: intra and inter-tasks. The intra-task analyzes execution flow of a task and identify where the new instructions can be inserted to change supply voltage and frequency when the worst case path is not followed. On the other hand, the inter-task approach analyzes how long a task will wait due to interferences (e.g. preemption, shared resources), verifies system schedulability and defines a set of initial optimum frequencies in multi-task environment. The result is a new code with the same functionality as the original one, however with instructions to change voltage and frequency when taking into account a task interferences. Moreover, the experimental results show not only energy consumption was reduced, but also timing constraints were satisfied. / Desempenho e consumo de energia são variáveis diretamente proporcionais. Para aumentar o desempenho, é necessário também aumentar o número de instruções por segundo a serem executadas, ou seja, alterar a frequência do processador. Quanto maior for este valor, também será o consumo de energia. Do mesmo modo, reduzir o consumo de energia implica diminuir o número de instruções a serem executadas e, logo, o desempenho. Explorar a relação entre desempenho e consumo de energia é a ideia base da técnica de escalonamento dinâmico de tensão e frequência DVFS (do inglês Dynamic Voltage and Frequency Scaling). Em sistemas de tempo real críticos, aplicar a técnica DVFS não é uma tarefa trivial. Estes sistemas associam a execução de uma tarefa a um limite temporal, de modo que, se este valor não for respeitado, devido à redução do desempenho, falhas graves podem ocorrer ao sistema. Assim, esta dissertação tem como objetivo unir duas abordagens da técnica DVFS em sistemas de tempo real críticos: uma intra e outra inter-tarefas. A abordagem intra-tarefa procura analisar o fluxo de execução de uma tarefa e identificar pontos onde é possível inserir instruções para troca de frequência e tensão, quando a execução de uma tarefa se distanciar do pior caso. Já a abordagem inter-tarefas, é responsável por: analisar o tempo de espera na execução de uma tarefa devido às interferências (preempções, compartilhamento de recursos), verificar a escalonabilidade do sistema e determinar um conjunto de frequências iniciais ótimas em ambientes de múltiplas tarefas. O resultado deste estudo é a geração de um novo código com funcionalidade igual ao de entrada, porém com instruções de troca de frequência e tensão, consideradas as interferências que uma tarefa possa sofrer. Além disso, resultados experimentais mostram como não só foi possível reduzir o consumo de energia, mas também respeitar os limites temporais das tarefas em questão.

Page generated in 0.0263 seconds