• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 5
  • 3
  • Tagged with
  • 8
  • 8
  • 6
  • 5
  • 5
  • 4
  • 4
  • 4
  • 4
  • 4
  • 4
  • 4
  • 4
  • 4
  • 3
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

Conception d'un poste de travail APL et de son unité de gestion mémoire

Sakellaridis, Ulysse 07 December 1983 (has links) (PDF)
L'extraordinaire évolution de la micro-informatique au cours de ces dernières années, et son utilisation de plus en plus fréquente dans les domaines les plus variés, sont à l'origine du développement des postes de travail autonomes scientifiques. Point de rencontre entre l'ordinateur se miniaturisant et le terminal devenant intelligent, le poste de travail a amene, avec l'introduction de la notion d'environnement de programmation, une véritable révolution dans la méthodologie de développement et d'utilisation de l'informatique. A l'heure actuelle, on observe principalement deux courants en ce qui concerne le développement des environnements de programmation La première orientation, que nous qualifierons de "classique", consiste à adapter aux pistes de travail autonomes des systèmes développés à l'origine pour des ordinateurs traditionnels, que ce soient des minis ou des gros ordinateurs, et reposant sur les mêmes principes fondamentaux. Le meilleur représentant de cette catégorie est le système UNIX. Le prix de revient, assez faible, d'un poste autonome et les progrès constants en logiciel de base qui ont permis la définition de noyaux de systèmes d'exploitation relativement peu volumineux, constituent les deux raisons essentielles de l'évolution des environnements "classiques" vers les postes de travail autonomes. Dans la deuxième catégorie sont regroupés les environnements orientés vers l'intelligence artificielle. Les chercheurs de ce vaste domaine de l'informatique ont été amenés à passer assez rapidement à un autre "style" de programmation, à une autre manière de concevoir et de réaliser leurs applications, ceci essentiellement à cause d'une incompatibilité entre leur mode de pensée et le "mode de pensée" des systèmes classiques. Aussi, et pour des raisons de performances, ils ont commencé à réaliser en totalité, dès le début des années 1970, leurs systèmes spécifiques. De cette façon, un certain nombre de notions ont pris beaucoup d'importance~ comme la programmation interactive, les programmes adaptatifs et l'accès agréable aux différentes ressources du système (systèmes Interlisp, Zetalisp).
2

Méthodes et outils pour la production de didacticiels : l'environnement informatique du projet MOSAIQUE

Adam, Jean-Michel 08 December 1983 (has links) (PDF)
Sur la base d'un modèle des fonctions essentielles requises pour l'écriture d'un didacticiel, nous étudions les problèmes posés par la spécification de ces fonctions et leur programmation informatique. Les méthodes proposées sont issues d'une expérience concrète et réussie de production de didacticiels de taille importante. De plus nous rendons compte sur le plan génie logiciel, et nous décrivons l'ensemble des outils que nous avons du spécifier et réaliser pour favoriser la production de didacticiels fiables, portables et modifiables.
3

ATHAPASCAN-0 : exploitation de la multiprogrammation légère sur grappes de multiprocesseurs

Carissimi, Alexandre da Silva 25 November 1999 (has links) (PDF)
L'accroissement d'efficacité des réseaux d'interconnexion et la vulgarisation des machines multiprocesseurs permettent la réalisation de machines parallèles à mémoire distribuée de faible coût: les grappes de multiprocesseurs. Elles nécessitent l'exploitation à la fois du parallélisme à grain fin, interne à un multiprocesseur offert par la multiprogrammation légère, et du parallélisme à gros grain entre les différents multiprocesseurs. L'exploitation simultanée de ces deux types de parallélisme exige une méthode de communication entre les processus légers qui ne partagent pas le même espace d'adressage. Le travail de cette thèse porte sur le problème de l'intégration de la multiprogrammation légère et des communications sur grappes de multiprocesseurssymétriques (SMP). Il porte plus précisément sur l'évaluation et le réglage dunoyau exécutif ATHAPASCAN-0 sur ce type d'architecture. ATHAPASCAN-0 est un noyau exécutif,portable, développé au sein du projet APACHE (CNRS-INPG-INRIA-UJF), qui combinela multiprogrammation légère et la communication par échange de messages. La portabilité est assurée par une organisation en couches basée sur les standards POSIX threads et MPI largement répandus. ATHAPASCAN-0 étend le modèle de réseaustatique de processus «lourds» communicants tel que MPI, PVM, etc, à celui d'un réseau dynamique de processus légers communicants. La technique de base est la multiprogrammation légère des communications et des calculs. La progression des communications exige la scrutation de l'état du réseau et l'enchaînement des opérations de transferts. L'efficacité repose sur la minimisation de ces opérations. De plus, l'emploi de multiprocesseurs ajoute des problèmes spécifiques dus à l'apparition d'un parallélisme réel entre calcul et communication. Ces problèmes sont présentés et des solutions sont proposées pour l'environnement ATHAPASCAN-0. Ces solutions sont évaluées sur des grappes de multiprocesseurs.
4

Conception d'un environnement de développement pour la résolution de problèmes : apport de l'intelligence artificielle distribuée et application à la vision

Baujard, Olivier 12 October 1992 (has links) (PDF)
L'objectif de cette thèse a été de concevoir un environnement de développement pour la resolution de problèmes, s'inspirant des langages a objets et de l'intelligence artificielle distribuée. Un environnement de programmation multi-agents a été conçu dans ce cadre. Cet environnement (maps) est fonde sur la distinction de deux classes d'agents, dédiées a la modélisation et a l'exploitation des connaissances figuratives (agent ks ou knowledge server) et des connaissances opératoires (agent kp ou knowledge processor). Ces classes peuvent alors etre spécialisées a l'aide d'un langage de programmation dedie. Une première version de cet environnement nous a permis de valider ces concepts de base, par la conception d'applications, en vision par ordinateur (système kiss), en diagnostic biomédical (système kids), en compréhension de la parole et en acquisition des connaissances. Le système kiss, décrit dans cette thèse, a été conçu pour manipuler les connaissances introduites par les phases d'analyse de bas niveau, de niveau intermédiaire et de haut niveau, dans une approche de type coopération région/contour. Dans notre approche multi-agents, ces connaissances sont distribuées au sein d'agents ks et kp, formant un réseau. Plusieurs améliorations ont été envisagées pour pallier un certain nombre de faiblesses de cet environnement et le rapprocher des plates-formes de conception en intelligence artificielle distribuée. Des améliorations fonctionnelles concernent l'introduction d'un mode d'exécution parallèle. Ces améliorations ont conduit a la définition d'un nouvel environnement. Des améliorations structurelles, encore a l'étude, concernent la définition d'agents hétérogènes et une structuration en couche des agents
5

Formalisation et intégration en vision par ordinateur temps réel

Arias, Soraya 16 December 1999 (has links) (PDF)
Le domaine de la vision par ordinateur a atteint un degré de maturité qui lui permet d'envisager, au delà de la mise en oeuvre d'algorithmes utilisés au coup par coup ou dans un asservissement, la construction d'applications complexes intégrant différents aspects (analyse de scène, décision, traitements temps réel, supervision). Cette complexité se répercute à tous les niveaux du cycle de développement de ces applications (conception, implantation et validation). Ce travail propose donc une méthodologie de conception et des outils effectifs pour la mise en oeuvre d'applications de vision temps réel. La méthodologie de conception proposée exige un découpage fonctionnel des traitements en tâches élémentaires, puis l'organisation de ces tâches de manière logique pour construire l'application. Ces tâches élémentaires sont appelées des Tâches Vision. Elles se présentent sous la forme d'une boucle de calculs temps réel, paramétrée, contrôlée de manière logique et pouvant agir sur un capteur visuel. L'environnement Orccad/MaestRo, dédié initialement à la robotique, offre des caractéristiques intéressantes pour satisfaire aux besoins de cette méthodologie. Il offre en particulier des outils formels de validation de la partie liée au controle logique et permet la gestion rigoureuse des aspects temps réel. Afin de tirer le meilleur parti de cet environnement pour le développement d'applications de vision, nous avons dû ajouter ou enrichir certaines de ses fonctionnalités. Les modifications concernent notamment un mécanisme de paramétrage dynamique des calculs, un mécanisme de communication assurant l'interopérabilité du système et un mécanisme de génération automatique d'interfaces de supervision. L'utilisation de cette méthodologie et de l'environnement Orccad étendu est illustrée à l'aide d'un prototype d'application de suivi de cible, dans un contexte d'assistance aux personnes agées.
6

Athapascan-0 : exploitation de la multiprogrammation légère sur grappes de multiprocesseurs

Carissimi, Alexandre da Silva January 1999 (has links)
L'accroissement d'efficacite des réseaux d'interconnexion et la vulgarisation des machines multiprocesseurs permettent la réalisation de machines parallèles a mémoire distribuée de faible coût: les grappes de multiprocesseurs. Elles nécessitent l'exploitation à la fois du parallélismeà grain fin, interne à un multiprocesseur offert par la multiprogrammation légère, et du parallélisme à gros grain entre les différents multiprocesseurs. L'exploitation simultanée de ces deux types de parallélisme exige une méthode de communication entre les processus légers qui ne partagent pas le mêmme espace d'adressage. Le travail de cette thèse porte sur le problème de l'Intégration de la multiprogrammation légère et des communications sur grappes de multiprocesseurs symétriques (SMP). II porte plus précisément sur evaluation et le reglage du noyau exécutif ATHAPASCAN-0 sur ce type d'architecture. ATHAPASCAN-0 est un noyau exécutif, portable, développé au sein du projet APACHE (CNRS-INPG-INRIA-UJF), qui combine la multiprogrammation légère et la communication par échange de messages. La portabilité est assurée par une organisation en couches basée sur les standards POSIX threads et MPI largement répandus. ATHAPASCAN-0 étend le modèle de réseau statique de processus «lourds» communicants tel que MPI, PVM, etc,à celui d'un réseau dynamique de processus légers communicants. La technique de base est la multiprogrammation lègere des communications et des calculs. La progression des communications exige la scrutation de état du reseau et l'enchainement des opérations de transferts. L'efficacité repose sur la minimisation de ces opérations. De plus, l'emploi de multiprocesseurs ajoute des problèmes spécifiques dus à l'apparition d'un parallélisme réel entre calcul et communication. Ces problèmes sont présentés et des solutions sont proposées pour l'environnement ATHAPASCAN-0. Ces solutions sont évaluées sur des grappes de multiprocesseurs. / The continuous price reduction for commodity PC multiprocessors and the availability of fast network interfaces have made cluster of multiprocessors an attractive low-price alternative to build parallel systems. Multiprocessor clusters offer two levels of parallelism: a fine grain parallelism inside a single multiprocessor and a coarse grain among them. A mechanism must be provided to exploit both levels of parallelism simultaneously. This requires to provide communications between threads belonging to different addresses spaces. This dissertation addresses the problem of integrating threads and communications on ATHAPASCAN-0 run time system. ATHAPASCAN-0 is a portable run time for cluster of multiprocessors developed as part of the APACHE project (CNRS-INPG-INRIA-UJF). Portability is achieved by a layered organization based on standards like POSIX threads and MPI. The ATHAPASCAN-0 run time system extends the heavy-weight process communication model of message passing libraries such as MPI, PVM, etc, into a lighter dynamic network of communicating threads. Multiprogramming is the key concept used. Communication progress is based on a network polling basis to handle incoming messages and to deliver outgoing communications requests. Performance is strongly dependent on the way these operations are implemented. Additionally, multiprocessors introduce some programming problems like overhead of cache coherency mechanisms, method of managing concurrent accesses and efficient mutex locking to avoid unnecessary context switching. These problems are analyzed and solutions are implemented in the ATHAPASCAN-0 run time system. An evaluation of these solutions is performed on a cluster of multiprocessors.
7

Athapascan-0 : exploitation de la multiprogrammation légère sur grappes de multiprocesseurs

Carissimi, Alexandre da Silva January 1999 (has links)
L'accroissement d'efficacite des réseaux d'interconnexion et la vulgarisation des machines multiprocesseurs permettent la réalisation de machines parallèles a mémoire distribuée de faible coût: les grappes de multiprocesseurs. Elles nécessitent l'exploitation à la fois du parallélismeà grain fin, interne à un multiprocesseur offert par la multiprogrammation légère, et du parallélisme à gros grain entre les différents multiprocesseurs. L'exploitation simultanée de ces deux types de parallélisme exige une méthode de communication entre les processus légers qui ne partagent pas le mêmme espace d'adressage. Le travail de cette thèse porte sur le problème de l'Intégration de la multiprogrammation légère et des communications sur grappes de multiprocesseurs symétriques (SMP). II porte plus précisément sur evaluation et le reglage du noyau exécutif ATHAPASCAN-0 sur ce type d'architecture. ATHAPASCAN-0 est un noyau exécutif, portable, développé au sein du projet APACHE (CNRS-INPG-INRIA-UJF), qui combine la multiprogrammation légère et la communication par échange de messages. La portabilité est assurée par une organisation en couches basée sur les standards POSIX threads et MPI largement répandus. ATHAPASCAN-0 étend le modèle de réseau statique de processus «lourds» communicants tel que MPI, PVM, etc,à celui d'un réseau dynamique de processus légers communicants. La technique de base est la multiprogrammation lègere des communications et des calculs. La progression des communications exige la scrutation de état du reseau et l'enchainement des opérations de transferts. L'efficacité repose sur la minimisation de ces opérations. De plus, l'emploi de multiprocesseurs ajoute des problèmes spécifiques dus à l'apparition d'un parallélisme réel entre calcul et communication. Ces problèmes sont présentés et des solutions sont proposées pour l'environnement ATHAPASCAN-0. Ces solutions sont évaluées sur des grappes de multiprocesseurs. / The continuous price reduction for commodity PC multiprocessors and the availability of fast network interfaces have made cluster of multiprocessors an attractive low-price alternative to build parallel systems. Multiprocessor clusters offer two levels of parallelism: a fine grain parallelism inside a single multiprocessor and a coarse grain among them. A mechanism must be provided to exploit both levels of parallelism simultaneously. This requires to provide communications between threads belonging to different addresses spaces. This dissertation addresses the problem of integrating threads and communications on ATHAPASCAN-0 run time system. ATHAPASCAN-0 is a portable run time for cluster of multiprocessors developed as part of the APACHE project (CNRS-INPG-INRIA-UJF). Portability is achieved by a layered organization based on standards like POSIX threads and MPI. The ATHAPASCAN-0 run time system extends the heavy-weight process communication model of message passing libraries such as MPI, PVM, etc, into a lighter dynamic network of communicating threads. Multiprogramming is the key concept used. Communication progress is based on a network polling basis to handle incoming messages and to deliver outgoing communications requests. Performance is strongly dependent on the way these operations are implemented. Additionally, multiprocessors introduce some programming problems like overhead of cache coherency mechanisms, method of managing concurrent accesses and efficient mutex locking to avoid unnecessary context switching. These problems are analyzed and solutions are implemented in the ATHAPASCAN-0 run time system. An evaluation of these solutions is performed on a cluster of multiprocessors.
8

Athapascan-0 : exploitation de la multiprogrammation légère sur grappes de multiprocesseurs

Carissimi, Alexandre da Silva January 1999 (has links)
L'accroissement d'efficacite des réseaux d'interconnexion et la vulgarisation des machines multiprocesseurs permettent la réalisation de machines parallèles a mémoire distribuée de faible coût: les grappes de multiprocesseurs. Elles nécessitent l'exploitation à la fois du parallélismeà grain fin, interne à un multiprocesseur offert par la multiprogrammation légère, et du parallélisme à gros grain entre les différents multiprocesseurs. L'exploitation simultanée de ces deux types de parallélisme exige une méthode de communication entre les processus légers qui ne partagent pas le mêmme espace d'adressage. Le travail de cette thèse porte sur le problème de l'Intégration de la multiprogrammation légère et des communications sur grappes de multiprocesseurs symétriques (SMP). II porte plus précisément sur evaluation et le reglage du noyau exécutif ATHAPASCAN-0 sur ce type d'architecture. ATHAPASCAN-0 est un noyau exécutif, portable, développé au sein du projet APACHE (CNRS-INPG-INRIA-UJF), qui combine la multiprogrammation légère et la communication par échange de messages. La portabilité est assurée par une organisation en couches basée sur les standards POSIX threads et MPI largement répandus. ATHAPASCAN-0 étend le modèle de réseau statique de processus «lourds» communicants tel que MPI, PVM, etc,à celui d'un réseau dynamique de processus légers communicants. La technique de base est la multiprogrammation lègere des communications et des calculs. La progression des communications exige la scrutation de état du reseau et l'enchainement des opérations de transferts. L'efficacité repose sur la minimisation de ces opérations. De plus, l'emploi de multiprocesseurs ajoute des problèmes spécifiques dus à l'apparition d'un parallélisme réel entre calcul et communication. Ces problèmes sont présentés et des solutions sont proposées pour l'environnement ATHAPASCAN-0. Ces solutions sont évaluées sur des grappes de multiprocesseurs. / The continuous price reduction for commodity PC multiprocessors and the availability of fast network interfaces have made cluster of multiprocessors an attractive low-price alternative to build parallel systems. Multiprocessor clusters offer two levels of parallelism: a fine grain parallelism inside a single multiprocessor and a coarse grain among them. A mechanism must be provided to exploit both levels of parallelism simultaneously. This requires to provide communications between threads belonging to different addresses spaces. This dissertation addresses the problem of integrating threads and communications on ATHAPASCAN-0 run time system. ATHAPASCAN-0 is a portable run time for cluster of multiprocessors developed as part of the APACHE project (CNRS-INPG-INRIA-UJF). Portability is achieved by a layered organization based on standards like POSIX threads and MPI. The ATHAPASCAN-0 run time system extends the heavy-weight process communication model of message passing libraries such as MPI, PVM, etc, into a lighter dynamic network of communicating threads. Multiprogramming is the key concept used. Communication progress is based on a network polling basis to handle incoming messages and to deliver outgoing communications requests. Performance is strongly dependent on the way these operations are implemented. Additionally, multiprocessors introduce some programming problems like overhead of cache coherency mechanisms, method of managing concurrent accesses and efficient mutex locking to avoid unnecessary context switching. These problems are analyzed and solutions are implemented in the ATHAPASCAN-0 run time system. An evaluation of these solutions is performed on a cluster of multiprocessors.

Page generated in 0.2097 seconds