• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 1
  • Tagged with
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

Un circuit de réception GPS tolérant aux erreurs de l’électronique / Tolerant GPS receiver circuit for electronics errors

Hafidhi, Mohamed Mourad 16 November 2017 (has links)
La réduction de la taille des transistors et des tensions d’alimentations permettent de concevoir des circuits intégrés de plus en plus complexes. Cependant, en abordant les limites de l’intégration des transistors et en fleuretant avec les tensions d’alimentation minimale, la fiabilité des circuits n’est plus garantie : des erreurs dues aux perturbations environnementales peuvent apparaitre. L’apparition de ces erreurs affectent le comportement du circuit et peuvent, par intermittence ou de façon permanente, le rendre inapte à rendre le service pour lequel il a été conçu. Par conséquent, il est de plus en plus important de considérer les effets de ces erreurs dans la conception des futurs circuits. L’objectif de la thèse est de traiter la fiabilité des systèmes numériques et d’introduire de nouvelles techniques de tolérance aux pannes permettant de construire des applications de traitement de signal fiables sur un électronique peu fiable. Un exemple d’application a été considéré durant la thèse : les modules de poursuite dans un récepteur GPS. Ces modules contiennent un ensemble d’applications de traitement de signal avec des exigences de fiabilité différentes : fonction de corrélation, boucles de rétroactions, machines d’états, générateurs de codes et de porteuses. À partir d’une version standard d’un récepteur GPS, des mécanismes de redondance ont été proposés et ajoutés pour concevoir un récepteur GPS plus tolérant aux erreurs. Un circuit intégré (ASIC) sera conçu en utilisant une technologie 28 nm pour valider les performances de ces techniques et faire les tests de mesures de consommation d’énergie. Au cours de la thèse, une plate-forme d’émulation a été conçue pour préparer l’environnement expérimental à utiliser une fois l’ASIC fondu. / There is continual motivation to scale down transistors size and to reduce the supply voltage of the circuits. However, by approaching the limits of transistor scaling and operating at a minimal supply voltage, circuit reliability has emerged as a critical concern. Circuits become more and more susceptible to errors due to Process, Voltage and Temperature (PVT) variations. Occurrence of errors can affect the behavior of circuits and generate a permanent system failure. Therefore, it is increasingly important to deal with errors effects in order to keep future devices working properly. The objective of the thesis is to address the reliability in digital systems and introduce new fault tolerant techniques to perform reliable signal processing applications on unreliable hardware. An example of application has been considered in the thesis: the tracking process of GPS receivers. It contains a very interesting set of different signal processing problem with different requirements of reliability: Correlation process, tracking loops (recursive operations), state machine, Gold and carrier generators. Starting from a noiseless GPS receiver, redundant mechanisms have been proposed and added to design a more resilient GPS receiver tolerant to errors. An Application-Specific Integrated Circuit (ASIC) will be designed, based on thesis results, using the 28 nm technology to validate the performances of the proposed techniques performances. During the thesis, an emulation platform was designed to prepare the experimental environment for the ASIC.

Page generated in 0.1275 seconds