• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 1
  • 1
  • Tagged with
  • 3
  • 3
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

Impact of size effects and anomalous skin effect on metallic wires as GSI interconnects

Sarvari, Reza 25 August 2008 (has links)
The 2006 International Technology Roadmap for Semiconductors projects that for 2020, interconnects will be as narrow as 14 nm and will operate at frequencies as high as 50GHz. For a wire that operates at ultra-high frequencies, such that skin depth and the mean free path of the electrons are in the same order, skin effect and surface scattering should be considered simultaneously. This is known as the anomalous skin effect (ASE). The objective of this work is to identify the challenges and opportunities for using GSI interconnects in the nanometer and GHz regime. The increase in the resistivity of a thin wire caused by the ASE is studied. The delay of a digital transmission line resulting from this effect is modeled. Compact models are presented for the bit-rate limit of transmission lines using a general form of resistance that for the first time simultaneously considers dc resistance, skin effect, and surface scattering. A conventional low-loss approximation that is only valid for fast rising signals is also relaxed. The impact of size effects on the design of multi-level interconnect networks is studied. For high-performance chips at the 18 nm technology node, it is shown that despite a more than four times increase in the resistivity of copper for minimum-size interconnects, the increase in the number of metal levels is negligible (less than 7%), and interconnects that will be affected most are so short that their impact on chip performance is inconsequential. It is shown that for low-cost applications where very few wiring pitches are normally used, the number of metal levels needed to compensate for the impact of size effects on the average rc delay of a copper interconnect is drastically high. An optimization methodology has been presented for power distribution interconnects at the local level. For a given IR drop budget, compact models are presented for the optimal widths of power and ground lines in the first two metal levels for which the total metal area used for power distribution is minimized.
2

Implementación de Sistema Integrador de Comunicaciones de Voz y Datos para el Gobierno Regional de Lima - Utilizando Plataforma Cisco Unified Communications Manager 7.0

Farro Lazo, Jorge January 2010 (has links)
Technology nowadays is evolving at rates that surpass anything imagination could perceive and it certainly affects every public or private enterprise. Well, according to this topic, I’m suggesting the improvement of the communications network of the Regional Government of Lima based on an integrated data and voice platform which includes a Cisco Centralized IP Telephony solution, called Cisco Unified Communications Manager 7.0, that works as a main element in order to achieve meaningful and complete management of internal and external telephone calls within MPLS Networks. Regional Government of Lima has been chosen because of its importance related to the economic activities of the population and the support that could be brought by an institution which could make success and generate productivity about rapid administrative processes . The thesis is divided into distinct chapters that make up the context of the investigation which involves network planning and design as an iterative process, encompassing topological design, network realization, IT asset sourcing and operations planning. For this purpose, information about the old and non sophisticated network status was raised. Costs and more details such as hardware and software features and more ways to make this network work so much better, with all the resources at its disposal, will be found on this whole solution
3

Nejvýznamnější etapy ve vývoji měnové politiky ČNB / The most significant phases of the monetary policy of the Czech National Bank

Krahulcová, Iveta January 2017 (has links)
The diploma thesis deals with the analysis of the most significant phases of the monetary policy of the Czech National Bank, including the analysis and evaluation of its effectiveness from its origin to the present. The thesis is divided into three individual parts. Each part corresponds to a specific transmission mechanism applied by the Czech National Bank while implementing the monetary policy. Each chapter includes the assessment of the effectiveness of individual approaches and the analysis of the impact of the monetary policy as well as the decision of the Czech National Bank on the Czech economy. The very first chapter is focused on the application of the monetary transmission mechanism in conditions of the fixed exchange rate during which an increasing internal as well as external disequilibrium led to the monetary crisis. The second chapter is dedicated to the transition to the inflation targeting, expert discussion concerning its implementation and the evaluation of the achieved results. The last chapter presents the use of the CNB's additional instrument of the monetary policy in the form of the exchange rate including the reasons that led to this step. The closing part of this chapter focuses on the evaluation of the effectiveness of this policy.

Page generated in 0.0241 seconds