• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 1
  • Tagged with
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

Fundamental Characterization of Chemical Mechanical Planarization Relating to Slurry Dispensing and Conditioning Method

Han, Ruochen, Han, Ruochen January 2017 (has links)
The first part of our study introduces a new method for rapidly generating an "improved" Stribeck curve (i.e. Stribeck+ curve) that, compared to traditional Stribeck curves, shows a more complete tribological picture of the chemical mechanical planarization (CMP) process. The method significantly reduces the consumables and time required to obtain the curve compared to traditional means. Results of the Stribeck+ curve are consistent with individual tests using several different consumables combinations. All copper CMP Stribeck+ examples clearly indicate the lubrication mechanism and transitions thereof between different polishing conditions. Variability in COF as well as a much wider range in U/P are also explored. In the second part of our study, the Stribeck+ curve is successfully applied to silicon dioxide CMP processes to characterize the tribology of such processes under different process conditions and consumables. Results show our Stribeck+ curve methodology to be capable of rapidly determining and differentiating the tribological mechanism among all cases studied. The Stribeck+ curve helps indicate process stability as shown by the spread of the COF vertical clusters. The Stribeck+ curve also confirms a previously known effect that the greater the ratio of pad’s up-features to the total pad area, the greater the probability of wafer hydroplaning. As the third part of our study, we investigate the effect of different pad surface micro-textures on the tribological, thermal and kinetic attributes during copper CMP. Different micro-textures are generated by two different chemical vapor deposited (CVD) diamond-coated conditioner discs (i.e. Disc A and Disc B). Results show that while pad temperature and removal rate increase with polishing pressure and sliding velocity on both discs, Disc B generates consistently lower removal rates and COF than Disc A. To fundamentally elucidate the cause(s) of such differences, pad surface contact area and topography are analyzed using laser confocal microscopy. The comparison of the pad surface micro-texture analysis indicates that Disc A causes a pad surface with a smaller abruptness (λ) and much more solid contact area which results in a higher removal rate. In contrast, Disc B generates less contact areas and COF. A two-step modified Langmuir–Hinshelwood model is employed to simulate copper removal rates as well as chemical and mechanical rate constants. The simulated chemical to mechanical constant ratios indicate that Disc A produces a more mechanically limited process under all conditions tested. In the fourth part of our study, the position of a slurry injection system (SIS) is optimized to achieve a more cost-effective and environmentally benign CMP process using a widely-adopted ceria-based "reverse slurry". Here, SIS is configured with different angles in order to investigate slurry dilution characteristics caused by residual pad rinsing with ultrapure water (UPW) that is known to affect silicon dioxide removal. UPW dilution effect on removal rate, coefficient of friction and pad surface temperature is explained by maintaining a constant dilution ratio for each of the SIS configuration tests. Results indicate that SIS negative rotation angles increase the actual slurry dilution ratio on top of the polishing pad. This generates more Ce3+ which boosts removal rates. Application of negatively rotated SIS allows significantly lower slurry flow rates and/or shorter polishing times leading to more environmental friendly semiconductor manufacturing processes. Finally, it is confirmed that variations in SIS configuration has no impact on silicon dioxide to silicon nitride removal rate selectivity. In the fifth and final part of our study, the silicon dioxide removal rate using a "reverse" ceria-based slurry is investigated under four different combinations of conditioning modes and slurry application methods. In a “reverse” slurry, addition of water acts to promote material removal. Overall, the process using ex-situ conditioning with the SIS results in the highest removal rate, while the process using in-situ conditioning with the conventional point application (PA) generates the lowest removal rate. This study explains the differences in silicon dioxide removal rate based on the variations of the actual slurry dilution ratio on the pad associated with conditioning and slurry application methods. Frictional analysis and Stribeck+ curves are employed to elucidate the tribological characteristics. Results show that the conditioning modes and the slurry application methods vary the extent of the polishing vibrations. Silicon dioxide removal rate is found to linearly correlate with the extent of COF fluctuation. The work underscores the importance of optimum slurry flow dynamics and injection geometry to obtain a more cost-effective and environmentally benign CMP process.

Page generated in 0.0572 seconds