• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 1
  • Tagged with
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

Characterization of Post-Plasma Etch Residues and Plasma Induced Damage Evaluation on Patterned Porous Low-K Dielectrics Using MIR-IR Spectroscopy

Rimal, Sirish 05 1900 (has links)
As the miniaturization of functional devices in integrated circuit (IC) continues to scale down to sub-nanometer size, the process complexity increases and makes materials characterization difficult. One of our research effort demonstrates the development and application of novel Multiple Internal Reflection Infrared Spectroscopy (MIR-IR) as a sensitive (sub-5 nm) metrology tool to provide precise chemical bonding information that can effectively guide through the development of more efficient process control. In this work, we investigated the chemical bonding structure of thin fluorocarbon polymer films deposited on low-k dielectric nanostructures, using Fourier transform infrared spectroscopy (FTIR), X-ray photoelectron spectroscopy (XPS) and scanning electron microscopy (SEM). Complemented by functional group specific chemical derivatization reactions, fluorocarbon film was established to contain fluorinated alkenes and carbonyl moieties embedded in a highly cross-linked, branched fluorocarbon structure and a model bonding structure was proposed for the first time. In addition, plasma induced damage to high aspect ratio trench low-k structures especially on the trench sidewalls was evaluated both qualitatively and quantitatively. Damage from different plasma processing was correlated with Si-OH formation and breakage of Si-CH3 bonds with increase in C=O functionality. In another endeavor, TiN hard mask defect formation after fluorocarbon plasma etch was characterized and investigated. Finding suggest the presence of water soluble amines that could possibly trigger the formation of TiN surface defect. An effective post etch treatment (PET) methods were applied for etch residue defect removal/suppression.

Page generated in 0.0667 seconds