• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 2
  • 1
  • Tagged with
  • 3
  • 3
  • 3
  • 3
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

Contribution à l'étude et à la mise en oeuvre de fonction de filtrage pour les brasseurs optiques dédiés au traitement multi-longueurs d'onde / Contribution to the study of filters for the implementation of optical cross-connect devices dedicated to WDM applications

Bendimerad, Djalal Falih 03 May 2012 (has links)
La demande croissante des réseaux optiques actuels en termes de bande passante résulte en un besoin d’exploiter la capacité offerte par la fibre optique. Un des moyens indispensable pour permettre ceci est l’utilisation du multiplexage en longueur d’onde. Il en résulte la nécessité d’utilisation de dispositifs, parmi lesquels le filtre optique, agiles en longueur d’onde et transparents par rapport aux débits. C’est dans ce contexte que notre travail se situe. La technologie utilisée est celle des filtres interférentiels en polarisation. Contraint par un cahier des charges particulier aux applications télécoms, le principal objectif consiste en l’augmentation des performances de ce type de filtre d’une part, et l’association de fonctionnalités additionnelles nécessaires dans les réseaux d’autre part. En utilisant le principe physique des interférences en polarisation, une structure est alors implémentée, suivant les contraintes liées aux trois paramètres majeurs du filtre que sont le contraste, la fonction d’égalisation et la reconfiguration de la bande passante. Par ailleurs, les architectures des filtres optiques diffèrent selon l’emplacement dans le réseau. Ainsi, si une architecture des plus simples est utilisée à la réception, au niveau des nœuds du réseau, celle-ci devient beaucoup plus complexe, s’adaptant à différents degrés de nœuds, et portent le nom de multiplexeurs optiques à insertion/extraction de longueur d’onde (ROADM). Un dispositif de ce type, basé sur les filtres biréfringents, est donc présenté. Une approche système est ensuite menée dans le but de mettre en évidence les avantages et les limitations de la technologie utilisée / The growing needs, in term of bandwidth in optical networks, increase over years. To satisfy these needs, the entire bandwidth of the optical fiber has to be exploited. In this context, the wavelength division multiplexing technique is used to allow the utilization of several wavelengths and results in considerably enhanced network bit-rate. However, such networks must use specific components which have particular wavelength-capabilities. Among these components, one of the most important and critical device is the optical filter. Our work is focused on the implementation of specific optical filters, using the polarization interference filter technology. The main purpose of this work is to enhance the filter rejection ratio, and to add new functionalities such as the amplitude equalization and the bandwidth tuning functions. After satisfying optical network expectations, another point, related to the filter utilization in the network, concern the device architecture which is determined by its network-location. A simple architecture with an input and an output is needed at the reception; meanwhile, a specific one is expected at network nodes. Thus, a new kind of devices is introduced, called reconfigurable optical add/drop multiplexers (ROADM). Therefore, such a device is implemented by the birefringent filter developed in this manuscript. Then, the developed device is placed in a transmission chain, and simulation results underline its limitations, by proceeding to comparison between this structure and typical optical filters. As conclusion of this work, some solutions and perspectives are presented, and very interesting challenges are highlighted
2

Technology for photonic components in silica/silicon material structure

Wosinski, Lech January 2003 (has links)
The main objectives of this thesis were to develop a lowtemperature PECVD process suitable for optoelectronicintegration, and to optimize silica glass composition forUV-induced modifications of a refractive index in PECVDfabricated planar devices. The most important achievement isthe successful development of a low temperature silicadeposition, which for the first time makes it is possible tofabricate good quality low loss integrated components whilekeeping the temperature below 250oC during the entirefabrication process. Two strong absorption peaks thatappear at1.5 mm communication window due to N-H and Si-H bonds have beencompletely eliminated by process optimization. This openspossibilities for monolithic integration with other,temperature sensitive devices, such as semiconductor lasers anddetectors, or polymer-based structures on the common siliconplatform. PECVD technology for low loss amorphous silicon inapplication to SiO2/Si based photonic crystal structures hasbeen also optimized to remove hydrogen incorporated during thedeposition process, responsible for the porosity of thedeposited material and creation of similar to silica absorptionbands. Change of the refractive index of germanium doped silicaunder UV irradiation is commonly used for fabrication of UVinduced fiber Bragg gratings. Here we describe our achievementsin fabrication of fiber Bragg gratings and their application todistributed sensor systems. Recently we have built up a laserlab for UV treatment in application to planar technology. Wehave demonstrated the high photosensitivity of PECVD depositedGe-doped glasses (not thermally annealed) even without hydrogenloading, leading to a record transmission suppression of 47dBin a Bragg grating photoinduced in a straight buried channelwaveguide. We have also used a UV induced refractive indexchange to introduce other device modifications or functions,such as phase shift, wavelength trimming and control ofpolarization birefringence.The developed low temperature technology and the UVprocessing form a unique technology platform for development ofnovel integrated functional devices for optical communicationsystems. A substantial part of the thesis has been devoted tostudying different plasma deposition parameters and theirinfluence on the optical characteristics of fabricatedwaveguides to find the processing window giving the besttrade-off between the deposition rate,chamber temperatureduring the process, optical losses and presence of absorptionbands within the interesting wavelength range. The optimalconditions identified in this study are low pressure (300-400mTorr), high dilution of silane in nitrous oxide and high totalflow (2000 sccm), low frequency (380 KHz) RF source and high RFpower levels (800-1000 W). The thesis provides better understanding of the plasmareactions during the deposition process. RF Power is the keyparameter for increasing the rate of surface processes so as toaccommodate each atomic layer in the lowest energy statepossible. All the process conditions which favor a moreenergetic ion bombardment (i.e. low pressure, low frequency andhigh power) improve the quality of the material, making it moredense and similar to thermal oxide, but after a certain pointthe positive trend with increasing power saturates. As theenergy of the incoming ion increases, a competing effect setsin at the surface: ion induced damage and resputtering. Finally, the developed technologies were applied for thefabrication of some test and new concept devices for opticalcommunication systems including multimode interference (MMI)-based couplers/splitters, state-of-the-art arrayed waveguidegrating-based multi/ demultiplexers, the first Bragg gratingassisted MMI-based add-drop multiplexer, as well as moreresearch oriented devices such as a Mach-Zehnder switch basedon silica poling and a Photonic Crystal-based coupler. <b>Keywords:</b>silica-on-silicon technology, PECVD, plasmadeposition, photonic integrated circuits, planar waveguidedevices, UV Bragg gratings, photosensitivity, arrayed waveguidegratings, multimode interference couplers, add-dropmultiplexers.
3

Technology for photonic components in silica/silicon material structure

Wosinski, Lech January 2003 (has links)
<p>The main objectives of this thesis were to develop a lowtemperature PECVD process suitable for optoelectronicintegration, and to optimize silica glass composition forUV-induced modifications of a refractive index in PECVDfabricated planar devices. The most important achievement isthe successful development of a low temperature silicadeposition, which for the first time makes it is possible tofabricate good quality low loss integrated components whilekeeping the temperature below 250oC during the entirefabrication process. Two strong absorption peaks thatappear at1.5 mm communication window due to N-H and Si-H bonds have beencompletely eliminated by process optimization. This openspossibilities for monolithic integration with other,temperature sensitive devices, such as semiconductor lasers anddetectors, or polymer-based structures on the common siliconplatform. PECVD technology for low loss amorphous silicon inapplication to SiO2/Si based photonic crystal structures hasbeen also optimized to remove hydrogen incorporated during thedeposition process, responsible for the porosity of thedeposited material and creation of similar to silica absorptionbands.</p><p>Change of the refractive index of germanium doped silicaunder UV irradiation is commonly used for fabrication of UVinduced fiber Bragg gratings. Here we describe our achievementsin fabrication of fiber Bragg gratings and their application todistributed sensor systems. Recently we have built up a laserlab for UV treatment in application to planar technology. Wehave demonstrated the high photosensitivity of PECVD depositedGe-doped glasses (not thermally annealed) even without hydrogenloading, leading to a record transmission suppression of 47dBin a Bragg grating photoinduced in a straight buried channelwaveguide. We have also used a UV induced refractive indexchange to introduce other device modifications or functions,such as phase shift, wavelength trimming and control ofpolarization birefringence.The developed low temperature technology and the UVprocessing form a unique technology platform for development ofnovel integrated functional devices for optical communicationsystems.</p><p>A substantial part of the thesis has been devoted tostudying different plasma deposition parameters and theirinfluence on the optical characteristics of fabricatedwaveguides to find the processing window giving the besttrade-off between the deposition rate,chamber temperatureduring the process, optical losses and presence of absorptionbands within the interesting wavelength range. The optimalconditions identified in this study are low pressure (300-400mTorr), high dilution of silane in nitrous oxide and high totalflow (2000 sccm), low frequency (380 KHz) RF source and high RFpower levels (800-1000 W).</p><p>The thesis provides better understanding of the plasmareactions during the deposition process. RF Power is the keyparameter for increasing the rate of surface processes so as toaccommodate each atomic layer in the lowest energy statepossible. All the process conditions which favor a moreenergetic ion bombardment (i.e. low pressure, low frequency andhigh power) improve the quality of the material, making it moredense and similar to thermal oxide, but after a certain pointthe positive trend with increasing power saturates. As theenergy of the incoming ion increases, a competing effect setsin at the surface: ion induced damage and resputtering.</p><p>Finally, the developed technologies were applied for thefabrication of some test and new concept devices for opticalcommunication systems including multimode interference (MMI)-based couplers/splitters, state-of-the-art arrayed waveguidegrating-based multi/ demultiplexers, the first Bragg gratingassisted MMI-based add-drop multiplexer, as well as moreresearch oriented devices such as a Mach-Zehnder switch basedon silica poling and a Photonic Crystal-based coupler.</p><p><b>Keywords:</b>silica-on-silicon technology, PECVD, plasmadeposition, photonic integrated circuits, planar waveguidedevices, UV Bragg gratings, photosensitivity, arrayed waveguidegratings, multimode interference couplers, add-dropmultiplexers.</p>

Page generated in 0.0389 seconds