• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 3
  • 1
  • 1
  • Tagged with
  • 5
  • 5
  • 5
  • 5
  • 3
  • 3
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

Triboelectrochemical Characterization of Microelectronic Materials

Joo, Suk Bae 02 October 2013 (has links)
Non-uniformity in chemical-mechanical planarization (CMP) due to diverse pattern geometry in copper damascene structures has been a critical limit to process yield. Fundamental understanding in tribology and electrochemistry is crucial to solve this problem. This research develops novel triboelectrochemical techniques to characterize the polished wafer surface and to understand mechanisms of materials removal. There are two approaches in this research. Experimentally, a setup containing a tribometer and a potentiostat was built. It enabled simultaneous measurement in friction coefficient and electrochemical response of wafer materials. Theoretically, electrochemical reactions and Hertzian contact were analyzed on ECMPed wafers in terms of mechanisms of step height reduction in anodic and cathodic ECMP in corresponds to surface chemistry. Results revealed the nature of limitation of ECMP for global planarization. In order to further the fundamental investigation of ECMP, the potentiostatic electrochemical impedance spectroscopy (EIS) was utilized to study the interface kinetics. It was revealed that the formation of Cu oxide films was affected by the electrical potentials. Through in situ measurement, it was found that the tribological behavior depend on the surface chemistry and surface morphology under the influence of anodic potentials. The potentiodynamic polarization results explained the removal and formation mechanisms of interface. The results showed that the cycle of passivation/removal was a function of mechanical factor such as the load and speed. The new model was developed via material removal rate (MRR) in both electrochemical and mechanical aspects. The quantitative contribution of electrochemical potential to overall removal was established for the first time. It was further confirmed by Ru and the electrochemical constant j was developed for metal ECMP. This dissertation includes seven chapters. Chapter I Introduction and II Motivation and Objectives are followed by the materials setup and testing conditions discussed in Chapter III. The tribological and electrochemical characterization of the Cu patterned geometry is discussed in Chapter IV. Chapter V discusses the kinetics of the interface during polishing and its removal mechanisms. Chapter VI discusses the synergism of ECMP, followed by Conclusions and Future work.
2

Surface Chemistry Of Application Specific Pads And Copper Chemical Mechanical Planarization

Deshpande, Sameer Arun 01 January 2004 (has links)
Advances in the interconnection technology have played a key role in the continued improvement of the integrated circuit (IC) density, performance and cost. Copper (Cu) metallization, dual damascenes processing and integration of copper with low dielectric constant material are key issues in the IC industries. Chemical mechanical planarization of copper (CuCMP) has emerged as an important process for the manufacturing of ICs. Usually, Cu-CMP process consists of several steps such as the removal of surface layer by mechanical action of the pad and the abrasive particles, the dissolution of the abraded particles in the CMP solution, and the protection of the recess areas. The CMP process occurs at the atomic level at the pad/slurry/wafer interface, and hence, slurries and polishing pads play critical role in its successful implementation. The slurry for the Cu-CMP contains chemical components to facilitate the oxidation and removal of excess Cu as well as passivation of the polished surface. During the process, these slurry chemicals also react with the pad. In the present study, investigations were carried out to understand the effect of hydrogen peroxide (H2O2) as an oxidant and benzotriazole (BTA) as an inhibitor on the CMP of Cu. Interaction of these slurry components on copper has been investigated using electrochemical studies, x-ray photoelectron spectroscopy (XPS) and secondary ion mass spectroscopy (SIMS). In the presence of 0.1M glycine, Cu removal rate was found to be high in the solution containing 5% H2O2 at pH 2 because of the Cu-glycine complexation reaction. The dissolution rate of the Cu was found to increase due to the formation of highly soluble Cu-glycine complex in the presence of H2O2. Addition of 0.01M BTA in the solution containing 0.1M glycine and 5% H2O2 at pH 2 exhibited a reduction in the Cu removal rate due to the formation of Cu-BTA complex on the surface of the Cu further inhibiting the dissolution. XPS and SIMS investigations revealed the formation of such Cu-glycine complex, which help understand the mechanism of the Cu-oxidant-inhibitor interaction during polishing. Along with the slurry, pads used in the Cu-CMP process have direct influence an overall process. To overcome problems associated with the current pads, new application specific pad (ASP) have been developed in collaboration with PsiloQuest Inc. Using plasma enhanced chemical vapor deposition (PECVD) process; surface of such ASP pads were modified. Plasma treatment of a polymer surface results in the formation of various functional groups and radicals. Post plasma treatment such as chemical reduction or oxidation imparts a more uniform distribution of such functional groups on the surface of the polymer resulting in unique surface properties. The mechanical properties of such coated pad have been investigated using nanoindentation technique in collaboration with Dr. Vaidyanathan’s research group. The surface morphology and the chemistry of the ASP are studied using scanning electron microcopy (SEM), x-ray photoelectron spectroscopy (XPS), and fourier transform infrared spectroscopy (FTIR) to understand the formation of different chemical species on the surface. It is observed that the mechanical and the chemical properties of the pad top surface are a function of the PECVD coating time. Such PECVD treated pads are found to be hydrophilic and do not require being stored in aqueous medium during the not-in-use period. The metal removal rate using such surface modified polishing pad is found to increase linearly with the PECVD coating time. Overall, this thesis is an attempt to optimize the two most important parameters of the Cu-CMP process viz. slurry and pads for enhanced performance and ultimately reduce the cost of ownership (CoO).
3

Chemical Mechanical Planarization and Old Italian Violins

Philipossian, Ara, Sampurno, Yasa, Peckler, Lauren 18 January 2018 (has links)
Previous studies have shown that spectral analysis based on force data can elucidate fundamental physical phenomena during chemical mechanical planarization (CMP). While it has not been literally described elsewhere, such analysis was partly motivated by modern violinmakers and physicists studying Old Italian violins, who were trying to discover spectral relations to sound quality. In this paper, we draw parallels between violins and CMP as far as functionality and spectral characteristics are concerned. Inspired by the de facto standard of violin testing via hammer strikes on the base edge of a violin's bridge, we introduce for the first time, a mobility plot for the polisher by striking the wafer carrier head of a CMP polisher with a hammer. Results show three independent peaks that can indeed be attributed to the polisher's natural resonance. Extending our study to an actual CMP process, similar to hammered and bowed violin tests, at lower frequencies the hammered and polished mobility peaks are somewhat aligned. At higher frequencies, peak alignment becomes less obvious and the peaks become more isolated and defined in the case of the polished wafer spectrum. Lastly, we introduce another parameter from violin testing known as directivity, , which in our case, we define as the ratio of shear force variance to normal force variance acquired during CMP. Results shows that under identical polishing conditions, increases with the polishing removal rate.
4

Aufklärung der Wechselwirkung von Abrasivteilchen einer Poliersuspension mit Oberflächen mittels direkter Kraft- und rheologischer Untersuchungen

Hempel, Steffi 09 January 2012 (has links) (PDF)
Das chemisch-mechanische Planarisieren (CMP) in der Halbleiterindustrie ist ein Prozess mit sehr vielen Einflussgrößen, wobei das Polierergebnis unter anderem von den Eigenschaften der Wechselwirkungskomponenten Wafer, Poliersuspension und Polierpad abhängig ist. Bei der Entwicklung neuer Schaltkreisentwürfe werden die strukturellen Abhängigkeiten der Topografie nach dem CMP häufig im Verlauf von zeit- und kostenintensiven Lernzyklen aufgedeckt und angepasst. Um Dauer und Kosten für die Entwicklung neuer Schaltkreise zu reduzieren, sollte im Rahmen eines BMBF-Projektes ein umfassendes Gesamtmodell, welches den Polierprozess ausführlich beschreibt, entwickelt werden. Für die Umsetzung dieses Vorhabens ist ein umfassendes qualitatives und quantitatives Verständnis der mechanisch-hydrodynamischen und physikalisch-chemischen Mechanismen zu erarbeiten, welche den Materialabtrag und die Planarisierung beim CMP bestimmen. Ziel der vorliegenden Arbeit war es zum einen, mittels direkter Kraftmessung am AFM die Wechselwirkungskräfte zwischen den Festkörperoberflächen von Schleifpartikel und Wafer sowie zwischen den Schleifpartikeln untereinander in CMP-relevanten Flüssigkeiten und ihre Bedeutung für das CMP zu untersuchen. Um die Wechselwirkungskräfte am AFM bestimmen zu können, war zuvor die Entwicklung einer geeigneten Versuchsanordnung nötig. Zur Absicherung der Ergebnisse aus den Kraftmessungen wurde eine Methode erarbeitet, um die zwischenpartikulären Wechselwirkungen mittels rheologischer Untersuchungen indirekt bestimmen zu können. Des Weiteren fanden rheologische Messungen zur Untersuchung der Fließeigenschaften der Poliersuspensionen statt, wobei außerdem der Einfluss anwendungsrelevanter hydrodynamischer Kräfte auf die Stabilität der Poliersuspension zu überprüfen war. Als Poliersuspensionen kamen kommerziell verfügbare Slurries sowie eine Modellslurry zum Einsatz. Neben Systemen mit dispergierten Silica-Partikeln wurde auch eine Slurry mit Ceria-Partikeln als disperse Phase betrachtet. Die kontinuierliche Phase einer Poliersuspension ist ein Mehrkomponentensystem und enthält unterschiedlichste Additive. Untersucht wurde der Einfluss von pH-Wert und Elektrolytkonzentration auf die Wechselwirkungskräfte, das Fließverhalten sowie den Materialabtrag.
5

Aufklärung der Wechselwirkung von Abrasivteilchen einer Poliersuspension mit Oberflächen mittels direkter Kraft- und rheologischer Untersuchungen

Hempel, Steffi 07 December 2011 (has links)
Das chemisch-mechanische Planarisieren (CMP) in der Halbleiterindustrie ist ein Prozess mit sehr vielen Einflussgrößen, wobei das Polierergebnis unter anderem von den Eigenschaften der Wechselwirkungskomponenten Wafer, Poliersuspension und Polierpad abhängig ist. Bei der Entwicklung neuer Schaltkreisentwürfe werden die strukturellen Abhängigkeiten der Topografie nach dem CMP häufig im Verlauf von zeit- und kostenintensiven Lernzyklen aufgedeckt und angepasst. Um Dauer und Kosten für die Entwicklung neuer Schaltkreise zu reduzieren, sollte im Rahmen eines BMBF-Projektes ein umfassendes Gesamtmodell, welches den Polierprozess ausführlich beschreibt, entwickelt werden. Für die Umsetzung dieses Vorhabens ist ein umfassendes qualitatives und quantitatives Verständnis der mechanisch-hydrodynamischen und physikalisch-chemischen Mechanismen zu erarbeiten, welche den Materialabtrag und die Planarisierung beim CMP bestimmen. Ziel der vorliegenden Arbeit war es zum einen, mittels direkter Kraftmessung am AFM die Wechselwirkungskräfte zwischen den Festkörperoberflächen von Schleifpartikel und Wafer sowie zwischen den Schleifpartikeln untereinander in CMP-relevanten Flüssigkeiten und ihre Bedeutung für das CMP zu untersuchen. Um die Wechselwirkungskräfte am AFM bestimmen zu können, war zuvor die Entwicklung einer geeigneten Versuchsanordnung nötig. Zur Absicherung der Ergebnisse aus den Kraftmessungen wurde eine Methode erarbeitet, um die zwischenpartikulären Wechselwirkungen mittels rheologischer Untersuchungen indirekt bestimmen zu können. Des Weiteren fanden rheologische Messungen zur Untersuchung der Fließeigenschaften der Poliersuspensionen statt, wobei außerdem der Einfluss anwendungsrelevanter hydrodynamischer Kräfte auf die Stabilität der Poliersuspension zu überprüfen war. Als Poliersuspensionen kamen kommerziell verfügbare Slurries sowie eine Modellslurry zum Einsatz. Neben Systemen mit dispergierten Silica-Partikeln wurde auch eine Slurry mit Ceria-Partikeln als disperse Phase betrachtet. Die kontinuierliche Phase einer Poliersuspension ist ein Mehrkomponentensystem und enthält unterschiedlichste Additive. Untersucht wurde der Einfluss von pH-Wert und Elektrolytkonzentration auf die Wechselwirkungskräfte, das Fließverhalten sowie den Materialabtrag.

Page generated in 0.1797 seconds