• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 2
  • Tagged with
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

Dodec: A Random-link Approach for Low-radix On-chip Networks

Yang, Haofan 11 December 2013 (has links)
Network topologies play a vital role in chip design; they largely determine the cost of the network and significantly impact performance in many-core architectures. We propose a novel set of on-chip networks, dodecs, and illustrate how they reduce network diameter with randomized low-radix router connections. In addition, we design an adaptive routing algorithm for dodec networks to achieve high throughput. By introducing randomness, dodec networks exhibit more uniform message latency. By using low-radix routers, dodec networks simplify the router microarchitecture and attain 20% area and 22% power reduction compared to mesh routers while delivering the same overall application performance for PARSEC. We compare our dodec network to alternative low-radix network topologies and show that at the same cost, dodec networks increase the throughput up to 50% while reducing average latency by 10% compared to a mesh.
2

Dodec: A Random-link Approach for Low-radix On-chip Networks

Yang, Haofan 11 December 2013 (has links)
Network topologies play a vital role in chip design; they largely determine the cost of the network and significantly impact performance in many-core architectures. We propose a novel set of on-chip networks, dodecs, and illustrate how they reduce network diameter with randomized low-radix router connections. In addition, we design an adaptive routing algorithm for dodec networks to achieve high throughput. By introducing randomness, dodec networks exhibit more uniform message latency. By using low-radix routers, dodec networks simplify the router microarchitecture and attain 20% area and 22% power reduction compared to mesh routers while delivering the same overall application performance for PARSEC. We compare our dodec network to alternative low-radix network topologies and show that at the same cost, dodec networks increase the throughput up to 50% while reducing average latency by 10% compared to a mesh.

Page generated in 0.0595 seconds