Return to search

矽智財(SIP)交易之發展與制度規劃研究—以台灣IP Mall為例

隨著半導體製程技術的快速演進,以及電子產品往系統單晶片(SoC)趨勢發展,晶片設計生產力與製程技術間的落差日益擴大。設計重複使用(design reuse)逐漸成為縮短兩者差距之重要方法;若能靈活應用公司內部的設計重複使用或大量引用外來矽智財(SIP)完成晶片設計,將有效加速產品設計時程、縮短上市時間、節省設計成本並降低風險。

然而受限於資源、研發能力及SoC設計流程整合之複雜性,各公司無法自行開發所有需要的SIP,使得採用外部SIP並將其整合至設計專案中成為必要手段,並導致近幾年商品化SIP的交易市場開始蓬勃發展;但其中所牽涉之商業模式、授權方式與相關技術標準等議題卻相當複雜。

SIP交易之一大障礙來自於缺乏交易過程中所有必須的基礎建設與相關服務。為解決此問題,目前已出現一些中介機構,提供SIP供應商、SoC設計者必要的法律契約、IP保護、交易媒合及結清等服務,使其在交易流通與應用上能更加便利。我國亦於2003年開始推動國家矽導計畫,希望透過其IP Mall子計畫,建立完善的SIP匯集交易與推廣服務機制。

本研究從交易成本和統治結構觀點分析SIP的交易市場發展與衍生問題,並由交易流程中找出典型的商業模式與授權實務,繼而深入探討推廣SIP重複使用與促進交易流通之中介機構,為因應交易常見的問題與挑戰,在規劃交易運作制度、法律與整體交易體系之實際做法;就其擔任提供SIP交易相關活動支援的角色,提出實務上的制度規劃建議。

研究對象為台灣國家矽導計畫中所建立的IP Mall,分別是由創意電子和智原科技兩家公司擔負基礎建設工作,並選擇國外VCX及SIPAC兩家機構做為對照。透過次級文獻蒐集、專家訪談等方法得到主要發現如下:
1.極高的交易成本導致SIP交易困難。
2.SIP交易需配合以三邊統治為基礎之中介機構方能有效執行。
3.藉由建立SIP交易的機制及標準,將可大幅降低「交易成本以及資訊不對稱」所造成雙方損失。
4.兩家IP Mall在功能服務說明、SIP匯集、品質驗證、履約保證與風險管理之制度規劃有待加強。
5.台灣IP Mall的執行做法可朝Turnkey導向之營運模式發展。
6.台灣IP Mall的規劃及運作缺乏整體規劃、使用誘因和成效評估。

關鍵字:交易成本、統治結構、設計重複使用、矽智財、系統單晶片、矽導計畫、智財匯集服務(矽智財匯集平台/矽智財交易中心) / The rapid advance of semiconductor fabrication technologies and the trend towards system-on-chip (SoC) based electronic devices development has caused the worsening gap between silicon capacity and design productivity. “Design reuse” becomes a key strategy for SoC design gap improvement. Combining a selection of reusable silicon IP (SIP) and new designs significantly shortens the time required to create complex SoC products and reduces costs & risks.

However, due to constrained resources, the lack of experience with technologies and the complexity in SoC design flow integration, companies do need to source SIPs from outside suppliers instead of developing all kinds of functionalities internally. In recent years there has been a rapid development in the commercial SIP market. Nevertheless, the issues involved in the business model, licensing practices, and related technical standards are also quite complicated.

A key barrier to trading SIP may be the lack of all necessary infrastructure and related services within the transaction flow. To overcome this, there are emerging intermediary organizations to facilitate SIP transactions and applications by providing necessary legal contracting, IP protection, trading matching, settlement and service for SIP providers and SoC Integrators. Taiwan also launched National Si-Soft Project from 2003 with an attempt to establish an appropriate SIP trading, promotion and service mechanism under its IP Mall sub-project.

From the view of transaction costs and governance structure, this study analyzes the development and derivative problems of SIP trading market and generalizes common business models and licensing practices during the SIP transaction process. Moreover, according to the general problems and challenges from SIP trading, the study thoroughly discusses practices of intermediaries in the planning of transaction operating mechanism, legal matters and overall trading environment. Finally, this study offers some suggestions in practical system planning based on the role of providing SIP trading support.

The study takes Taiwan’s IP Malls as subjects, which were implemented by Global Unichip Corporation and Faraday Corporation respectively. We also choose overseas organizations like VCX from Scotland and SIPAC from Korea as a comparison. Based on the literature review and individual interview, we found the following facts:
1.Huge transaction costs result in SIP trading difficulties.
2.Intermediary organizations based on trilateral governance are essential to implementing SIP trading effectively.
3.Through the establishment of SIP trading systems and standards, the loss of both Buyers and Sellers results from transaction costs and information asymmetric can be reduced significantly.
4.Both Taiwan’s IP Malls need to enhance their system planning in the service & function introduction, SIP collection, SIP quality assurance, verification, guaranty of contract and risk management.
5.Taiwan’s IP Malls could take the turnkey-oriented business model based on their original design.
6.The planning and operation of Taiwan’s IP Malls lacks a holistic view, attractions for usage and performance evaluations.

Key words:
transaction cost, governance structure, design reuse, SIP (Silicon Intellectual Property), SoC (System-on-Chip), Si-Soft project, IP Mall

Identiferoai:union.ndltd.org:CHENGCHI/G0089359020
Creators施傑峰, Shih,Jey-Feng
Publisher國立政治大學
Source SetsNational Chengchi University Libraries
Language中文
Detected LanguageEnglish
Typetext
RightsCopyright © nccu library on behalf of the copyright holders

Page generated in 0.0023 seconds