Return to search

Glitch Reduction and CAD Algorithm Noise in FPGAs

This thesis presents two contributions to the FPGA CAD domain. First, a study of
glitch power in a commercial FPGA is presented, showing that glitch power in FPGAs is significant. A CAD algorithm is presented that reduces glitch power at the post-routing stage by taking advantage of don't-cares in the logic functions of the circuit. This method comes at no cost to area or performance.
The second contribution of this thesis is a study of FPGA CAD algorithm noise {random choices which can have an unpredictable effect on the circuit as a whole. An analysis of noise in the logic synthesis, technology mapping, and placement stages is presented. A series of early performance and power metrics is proposed, in an effort to find the best circuit implementation in the noise space.

Identiferoai:union.ndltd.org:LACETR/oai:collectionscanada.gc.ca:OTU.1807/31442
Date20 December 2011
CreatorsShum, Warren
ContributorsAnderson, Jason
Source SetsLibrary and Archives Canada ETDs Repository / Centre d'archives des thèses électroniques de Bibliothèque et Archives Canada
Languageen_ca
Detected LanguageEnglish
TypeThesis

Page generated in 0.0017 seconds