Return to search

Inductively coupled plasma induced type conversion of HgCdTe for infrared photodiode applications

[Truncated abstract] Infrared (IR) detectors have many applications across a wide range of industries. HgCdTe is the leading semiconductor material for fabrication of high-performance IR detectors due to a number of superior fundamental material properties. However, significant technological challenges are involved in working with this narrow bandgap material, primarily due to its low damage threshold. Exposure of HgCdTe to H2/CH4/Ar plasma in IR detector fabrication processes is known to generally cause modifications to the electrical properties of the material, specifically including p-to-n type conductivity conversion. This is an undesirable side-effect when aiming to perform physical etching for device delineation. However, it has previously been exploited as a novel means of planar n-on-p junction formation for high-performance HgCdTe photodiode fabrication. This technique offers significant advantages over established junction formation techniques such as ion implantation and ion beam milling. These include not requiring a postimplant anneal to activate dopants and repair ion-induced damage, and not necessitating reapplication of the passivation layer after junction formation. Previous work has demonstrated high-performance photodiodes based on H2/CH4 plasmainduced junction formation using a parallel-plate reactive ion etching (RIE) tool. The newer hybrid inductively coupled plasma (ICP) RIE technology is capable of greater control of the plasma condition, and therefore potentially greater control of the plasma-induced type conversion process. ... Differential profiling has been performed using wet chemical etch-backs between measurements to investigate the depth profiles of the carrier species. This investigation has revealed that the ICPRIE-induced type conversion depth is most sensitive to the sample temperature during exposure. The other ICPRIE process parameters, including the process pressure, RIE power, and ICP power, have also been shown to affect the type conversion depth and the electron concentration and mobility in the type converted layer. Based on this carrier transport characterisation work, a set of ICPRIE process conditions was identified as being suitable for formation of n-on-p junctions for high-performance photodiode fabrication. Three sets of photodiodes have been fabricated and characterised. The ICPRIE process parameters for junction formation were refined based on the parametric study of the carrier transport properties. The performance of photodiodes from each sample was measured to improve with each set of variations to the conditions for ICPRIE-induced junction formation, based on performance characterisation by current-voltage and noise measurements. Dynamic resistance area products up to 2.5 × 106 O.cm2 at 77K were measured for these mid-wave (MW) IR photodiodes (cutoff wavelength 5.3 µm), which is equivalent to the best reported results in the literature for devices based on established fabrication techniques. Gated photodiode structures were used to demonstrate that surface passivation is the performance-limiting factor for these photodiodes. This indicates not only that the set of ICPRIE conditions developed in this work to date is suitable for producing high-performance photodiodes, but that there is also potential for further improvement.

Identiferoai:union.ndltd.org:ADTP/279072
Date January 2009
CreatorsPark, Benjamin Alan
PublisherUniversity of Western Australia. School of Electrical, Electronic and Computer Engineering
Source SetsAustraliasian Digital Theses Program
LanguageEnglish
Detected LanguageEnglish
RightsCopyright benjamin Alan Park, http://www.itpo.uwa.edu.au/UWA-Computer-And-Software-Use-Regulations.html

Page generated in 0.0023 seconds