Return to search

Atomistic simulations of H2 and He plasmas modification of thin-films materials for advanced etch processes / Modification de matériaux en couches minces par plasmas H2 ou He : simulations atomistiques pour procédés de gravure innovants

Ce travail de thèse aborde l’un des défis technologiques liés au développement de nouvelles générations de transistors (FinFET, FDSOI), pour lesquels la gravure de couches ultraminces révèle plusieurs problèmes. En particulier, la gravure des espaceurs nitrure (SiN) doit être réalisée avec une précision nanométrique sans endommager les couches sous-jacentes, étape qui ne peut plus être réalisée par des plasmas conventionnels continus. Afin de dépasser cette limitation, une approche innovante a été récemment développée (dite Smart-Etch), qui s’appuie sur l'implantation d’ions légers et se déroule en deux étapes. Premièrement, le matériau à graver est exposé à un plasma ICP ou CCP d’hydrogène (H2) ou d’hélium (He); dans une deuxième étape, la couche modifiée est retirée sélectivement par gravure humide ou exposition à des réactifs gazeux. Afin d’appréhender les mécanismes fondamentaux de la première étape et assister le développement de cette nouvelle technologie, des simulations de dynamique moléculaire (MD) ont été réalisées pour étudier l'interaction des plasmas H2/He avec des couches de Si/SiN. La MD a été utilisée pour examiner comment la modification de ces substrats est affectée par l’énergie ionique, la dose ionique, la composition ionique ou le rapport flux de radicaux/ flux d’ions (dans le cas d’un plasma H2). En accord avec les expériences, les simulations de bombardement ionique He+ ou Hx+ (x = 1-3) sur Si/SiN montrent que l’implantation ionique est auto-limitée, et que l’évolution de la surface se déroule en deux étapes : une rapide modification en volume (sans gravure) suivie d'une saturation lente et de la formation d'une couche implantée stable en régime permanent (état stationnaire). Les mécanismes d'endommagement induit par les ions (rupture des liaisons Si-Si ou Si-N, piégeage/désorption d’He ou H2, formation de groupes SiHx (x = 1-3) en profondeur), sont étudiés et permettent d’apporter de nouveaux éléments de compréhension aux technologies Smart-Cut et Smart-Etch. L’exposition de substrats Si/SiN à un plasma H2 (impacts d’ions Hx+ et de radicaux H) a également été étudiée pour différentes conditions plasma. Dans ce cas, une transformation auto-limitée est observée mais les couches modifiées/hydrogénées sont simultanément gravées pendant l'implantation ionique, à un taux 10 fois inférieur pour SiN par rapport à Si. Les simulations montrent que modifier des substrats Si/SiN avec une précision nanométrique nécessite un contrôle prudent de l’énergie et du flux des ions incidents. En particulier, les faibles doses ioniques doivent être évitées car l’évolution de la surface ne peut pas être contrôlée précisément en régime transitoire (modification rapide). Dans les plasmas H2, les énergies ioniques élevées induisent des couches modifiées plus épaisses mais des taux d'hydrogénation plus faibles et moins homogènes. La composition ionique et le rapport flux de radicaux/ flux ions (Γ) doivent également être controllés avec précaution, notamment car la vitesse de gravure du matériau augmente avec Γ, ce qui empêche entre-autre la possibilité du Smart-Etch pour le silicium. Les simulations MD réalisées dans cette thèse permettent de clarifier divers phénomènes inexpliqués observés dans le Smart-Etch expérimentalement, et de révéler quelques problèmes possibles dans ce nouveau procédé. Finalement, une gamme de paramètres plasma est proposée pour optimiser cette première étape de Smart-Etch et contrôler la modification de SiN avec une précision sous-nanométrique. / This PhD thesis focuses on technological challenges related to the development of advanced transistors (FinFET, FDSOI), where the etching of thin films reveals several issues. In particular, the etching of silicon nitride spacers should be achieved with a nanoscale precision without damaging the underlayers, a step which cannot be addressed by conventional CW plasmas. To overpass this limitation, an innovative approach was recently developed (so-called Smart Etch), which is based on light ion implantation and composed of two steps. First, the material to be etched is modified by exposure to a hydrogen (H2) or helium (He) ICP or CCP plasma; in a second step, the modified layer is selectively removed using wet etching or gaseous reactants only. To support the fundamental understanding of the first step and assist the development of this new technology, molecular dynamics (MD) simulations were performed to study the interaction between silicon/silicon nitride films and hydrogen/helium plasmas. MD was used to investigate how the substrates modification is affected by the ion energy, the ion dose, the ion composition or the radical-to-ion flux ratio (in the case of a H2 plasma). In agreement with experiments, simulations of He+ or Hx+ (x=1-3) ion bombardment of Si/SiN show that a self-limited ion implantation takes place with a surface evolution composed of two stages: a rapid volume modification (with no etching) followed by a slow saturation and the formation of a stable He- or H- implanted layer at steady state. The mechanisms of ion-induced damage (Si-Si or Si-N bond breaking, He or H2 trapping/desorption, SiHx (x=1-3) complex creation) are investigated and allow to bring new insights to both the Smart Cut and Smart Etch technologies. Si/SiN exposure to various H2 plasma conditions (with both Hx+ ions and H radicals) was then studied. In this case, a self-limited transformation is observed but the H-modified layers are simultaneously etched during the ion implantation, at a rate ~10 times smaller for SiN compared to Si. Simulations show that to modify Si/SiN thin films with a nanoscale precision by H2 or He plasmas, both the ion energy and the ion flux have to be controlled very cautiously. In particular, low ion doses, where the substrate evolution is in rapid modification stage, must be avoided since the substrate evolution cannot be precisely controlled. In H2 plasmas, high ion energies induce thicker modified layers but smaller and less homogeneous hydrogenation rates. The ion composition and the radical-to-ion flux ratio Γ must be considered as well, since the etch rate increases with Γ, compromising even the possibility to achieve a Smart Etch of silicon. The MD simulations performed in this thesis enable to clarify various unexplained phenomena seen in the Smart-Etch experimentally, and reveal some possible issues in this new process. In the end, a range for plasma parameters is proposed to optimize this first step of the Smart Etch process and to control the modification of SiN with a sub-nanoscale precision.

Identiferoai:union.ndltd.org:theses.fr/2017GREAT101
Date15 December 2017
CreatorsMartirosyan, Vahagn
ContributorsGrenoble Alpes, Joubert, Olivier Pierre Etienne
Source SetsDépôt national des thèses électroniques françaises
LanguageEnglish
Detected LanguageFrench
TypeElectronic Thesis or Dissertation, Text

Page generated in 0.0033 seconds