Return to search

Realisering av high-end delta-sigma DAC i FPGA / Realization of high-end Delta-Sigma DAC in FPGA

<p>Oppgaven har gått ut på å lage en high-end delta-sigma DA-konverter for FPGA. Prinsippet for en slik DA-konverter er at det analoge utgangssignalet genereres ved å lavpassfiltrere et 1-bit digitalt utgangssignal fra FPGA-kretsen. For å oppnå ønsket signalkvalitet ved den 1-bit representasjonen, krever dette at det benyttes oversampling og støyforming ved hjelp av en delta-sigma-modulator. I det 1-bit utgangssignalet er benyttet pulsbredde-modulasjon (PWM), som er gunstig med tanke på de ikke-ideelle egenskaper på utgangen av FPGA-kretsen og i det analoge lavpassfilteret. På bakgrunn av oppgavebeskrivelsen ble det satt som mål at DA-konvertern skal kunne oppnå THD+N bedre enn -100 dB, samt kunne benyttes med en samplerate på 44.1 kHz som tilsvarer CD-lyd. DA-konverteren er realisert i verilog. Simuleringer viser at denne vil kunne oppnå en THD+N på -98 dB, som nok kan anses som high end, mens DA-konverteren bare vil kunne benyttes med en samplefrekvens på rundt 20 kHz, som ikke kvalifiserer til denne betegnelsen. Målene i oppgavebeskrivelsen er altså bare delvis oppfylt.</p>

Identiferoai:union.ndltd.org:UPSALLA/oai:DiVA.org:ntnu-10287
Date January 2006
CreatorsOlsen, Lasse Haugnes
PublisherNorwegian University of Science and Technology, Department of Electronics and Telecommunications, Institutt for elektronikk og telekommunikasjon
Source SetsDiVA Archive at Upsalla University
LanguageNorwegian
Detected LanguageNorwegian
TypeStudent thesis, text

Page generated in 0.0025 seconds