Return to search

Etude de la modification de la source dans l'utilisation de la méthode de co-optimisation source masque en lithographie optique : mise en oeuvre et applications / Study of the source modification within the Source Mask Optimization method in optical lithography : impact and application

Réalisée entre décembre 2009 et décembre 2012 au sein de STMicroelectronics Crolles dans l’équipe RET (résolution enhancement techniques), et en partenariat avec le laboratoire Hubert Curien Saint Etienne de l’université de Lyon, cette thèse s’intitule "Impact de la modification de la source dans l’utilisation de la méthode de cooptimisation masque source en lithographie optique, et application au nœud technologique 20 nm". Durant cette étude, nous avons pu étudier la technique d’optimisation de la source optique en lithographie, appelée généralement SMO afin de l’appliquer aux problématiques de l’industrie. Une première partie du manuscrit traitant de la lithographie optique permettra de mieux comprendre les problématiques liées à cette étude, en présentant les techniques utilisées. En effet, afin de permettre à la lithographie optique de continuer la miniaturisation des composants de microélectronique, il est nécessaire d’optimiser au maximum de nombreux éléments de la lithographie. La forme de la source optique utilisée n’échappe pas à cette règle et l’utilisation de sources étendues, hors axe et plus ou moins complexe permet aujourd’hui la production des technologies de pointes. Une seconde partie s’attardera plus sur l’optimisation de la source à proprement parler. Dans un premier temps, la théorie de la diffraction sera étudiée afin de permettre une meilleure compréhension du problème. Des simulations et des mesures SEM ou microscope électronique à balayage seront présentées pour montrer l’efficacité de la méthode SMO, de l’anglais "Source Mask Optimization". Cette étude donnant lieu au développement de nouvelles méthodes rapides et innovantes d’optimisation de la source, l’étude prendra soin de présenter des résultats obtenus dans le cadre de cette thèse. Ainsi, la méthode de SMO interne basée sur le phénomène de diffraction et créée durant cette thèse sera présentée dans cette étude et les résultats en découlant seront étudiés. L’application de l’optimisation de la source à des problématiques industrielles sera également présentée à travers différentes applications des solutions proposées. Finalement, un legs de connaissance nécessaire sera effectué par la présentation des différents outils développés durant cette thèse. Une troisième partie concernera l’étude de l’outil Flexray permettant la génération des sources optimisées. La thèse ayant donné lieu à une nouvelle technique de décomposition de la source en polynôme de Zernike, cette techniques sera présentée ici. Elle sera ensuite utilisée pour modéliser la dégradation d’une source, ainsi que pour corréler la différence de source avec la divergence du modèle empirique de simulation. L’étude des sources a été mise en place suivant un aspect industrielle, afin de contrôler l’évolution du scanner de façon rapide. De plus, des simulations peuvent être utilisées pour compléter cette étude. Finalement, une dernière partie traitera de la cooptimisation entre la source et différents éléments tels que le masque et la forme final du motif souhaité. En effet, si la forme initiale du motif souhaité joue un rôle important dans la définition de la source, il est possible de modifier cette dernière, ainsi que la forme du masque en lui appliquant un OPC afin d’obtenir de meilleurs résultats. Ces modifications seront étudiées durant le dernier chapitre / Conducted between December 2009 and December 2012 within the RET (resolution enhancement technology) team at STMicroelectronics Crolles and in partnership with Saint-Etienne laboratory Hubert Curien of the University of Lyon, this thesis entitled "Impact of changing the source while using the source mask optimization technique within optical lithography, and application to 20 nm technology node. ". In this thesis, Alleaume Clovis studied the optimization of the source used in optical lithography, technique usually called SMO (for source mask optimization) and applied the technique to the industry through several problems. The first part of the manuscript describe the optical lithography generalities, in order to allow a better understanding of the issues and the techniques used in this study. Indeed, to allow optical lithography to continue the miniaturization of microelectronic components, it is necessary to optimize many aspects of the lithography. The shape of the light source used is no exception to this rule and the use of extended sources, off-axis and more or less complex now enables the production of advanced technologies. The second part will then focus on the source modification and optimization. In a first step, the diffraction theory will be examined to demonstrate the theoretical interest of the thesis, and to allow a better understanding of the problem. Simulations and SEM measurements will be presented to show the effectiveness of SMO method. As this study gave birth to several innovative source optimization techniques, they will be presented. Thus, the method of internal SMO based on the phenomenon of diffraction and created during this thesis will be presented and the results would be studied. The application of the source optimization to industrial problems will also be presented through different applications. Finally, a legacy of knowledge will be done by presenting the different tools developed during this thesis. A third part will deal with the study of tool which generate the source inside the scanner allowing the use of optimized and complex sources. The thesis has given rise to a new source decomposition technique using Zernike polynomial. It will be used in this study to model the degradation of a source, and for correlating the impact of a source modification due to SMO technique on the empirical model stability. The study of sources has been implemented according to industrial aspect to monitor the scanner with a quick method. In addition to the Zernike decomposition method, simulations can be used to complete this study. The forth chapter of this study will talk about this implementation. Finally, the last part of the study will talk about the co-optimization of the source with several elements, such as the mask OPC and the final shape of the desired pattern. Indeed, if the initial shape of the desired pattern plays an important role in defining the source, it is possible to modify the latter design shape, as well as the shape of the mask in order to optimize both the source and the target shape. These changes will be discussed in the last chapter

Identiferoai:union.ndltd.org:theses.fr/2014STET4007
Date23 April 2014
CreatorsAlleaume, Clovis
ContributorsSaint-Etienne, Tishchenko, Alexandre
Source SetsDépôt national des thèses électroniques françaises
LanguageFrench
Detected LanguageFrench
TypeElectronic Thesis or Dissertation, Text

Page generated in 0.0025 seconds