Return to search

Modélisation comportementale en VHDL-AMS du lien RF pour la simulation et l'optimisation des systèmes RFID UHF et micro-ondes

L'optimisation de l'association " antenne - système" est une préoccupation majeure des concepteurs de tags RFID UHF et micro-ondes. L'évaluation conjointe de l'énergie reçue par ces antennes et de l'énergie re-rayonnée permettrait aux concepteurs d'évaluer directement les potentialités de télé-alimentation de leurs tags ainsi que la qualité de la communication. Pour répondre à cette problématique, nous avons développé une stratégie originale de modélisation et de simulation de systèmes RFID intégrés reposant sur l'utilisation du langage VHDL-AMS; langage compatible avec la majorité des outils de Conception Assistée par Ordinateur utilisés en microélectronique. La solution que nous proposons consiste en une modélisation à différents niveaux d'abstraction du système RFID que nous souhaitons optimiser, y compris le lien RF et les antennes. Nous adaptons ainsi le flot de conception classique largement utilisé en électronique numérique à un problème de conception mixte et RF.

Identiferoai:union.ndltd.org:CCSD/oai:tel.archives-ouvertes.fr:tel-00389776
Date28 May 2007
CreatorsKhouri, Rami
Source SetsCCSD theses-EN-ligne, France
LanguageFrench
Detected LanguageFrench
TypePhD thesis

Page generated in 0.0019 seconds