Return to search

Design Automation Flow using Library Adaptation for Variation Aware Logic Synthesis

No description available.
Identiferoai:union.ndltd.org:OhioLink/oai:etd.ohiolink.edu:ucin1397466797
Date03 June 2014
CreatorsAtluri, Lava Kumar
PublisherUniversity of Cincinnati / OhioLINK
Source SetsOhiolink ETDs
LanguageEnglish
Detected LanguageEnglish
Typetext
Sourcehttp://rave.ohiolink.edu/etdc/view?acc_num=ucin1397466797
Rightsunrestricted, This thesis or dissertation is protected by copyright: all rights reserved. It may not be copied or redistributed beyond the terms of applicable copyright laws.

Page generated in 0.0115 seconds