• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 1
  • Tagged with
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

Colloidal and Electrochemical Aspects of Copper-CMP

Sun, Yuxia January 2007 (has links)
Copper based interconnects with low dielectric constant layers are currently used to increase interconnect densities and reduce interconnect time delays in integrated circuits. The technology used to develop copper interconnects involves Chemical Mechanical Planarization (CMP) of copper films deposited on low-k layers (silica or silica based films), which is carried out using slurries containing abrasive particles. One issue using such a structure is copper contamination over dielectric layers (SiO2 film), if not reduced, this contamination will cause current leakage. In this study, the conditions conducive to copper contamination onto SiO2 films during Cu-CMP process were studied, and a post-CMP cleaning technique was discussed based on experimental results. It was found that the adsorption of copper onto a silica surface is kinetically fast (< 0.5 minute). The amount of copper absorbed is pH and concentration dependent and affected by presence of H2O2, complexing agents, and copper corrosion inhibitor Benzotrazole. Based on de-sorption results, DI water alone was unable to reduce adsorbed copper to an acceptable level, especially for adsorption that takes place at a higher pH condition. The addition of complex agent, citric acid, proved effective in suppressing copper adsorption onto oxide silica during polishing or post-CMP cleaning by forming stable copper-CA complexes. Surface Complexation Modeling was used to simulate copper adsorption isotherms and predict the copper contamination levels on SiO2 surfaces.Another issue with the application of copper CMP is its environmental impact. CMP is a costly process due to its huge consumption of pure water and slurry. Additionally, Cu-CMP processing generates a waste stream containing certain amounts of copper and abrasive slurry particles. In this study, the separation technique electrocoagulation was investigated to remove both copper and abrasive slurry particles simultaneously. For effluent containing ~40 ppm dissolved copper, it was found that ~90% dissolved copper was removed from the waste streams through electroplating and in-situ chemical precipitation. The amount of copper removed through plating is impacted by membrane surface charge, type/amount of complexing agents, and solid content in the slurry suspension. The slurry particles can be removed ~90% within 2 hours of EC through multiple mechanisms.

Page generated in 0.0898 seconds