• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 19
  • 3
  • 1
  • 1
  • 1
  • Tagged with
  • 26
  • 26
  • 26
  • 7
  • 6
  • 5
  • 5
  • 3
  • 3
  • 3
  • 3
  • 3
  • 2
  • 2
  • 2
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

Processing, reliability, and integration issues in chemical mechanical planarization

Zantye, Parshuram B. January 2005 (has links)
Thesis (Ph. D.)--University of South Florida, 2005. / Title from PDF of title page. Document formatted into pages; contains 231 pages. Includes vita. Includes bibliographical references.
2

Triboelectrochemical Characterization of Microelectronic Materials

Joo, Suk Bae 02 October 2013 (has links)
Non-uniformity in chemical-mechanical planarization (CMP) due to diverse pattern geometry in copper damascene structures has been a critical limit to process yield. Fundamental understanding in tribology and electrochemistry is crucial to solve this problem. This research develops novel triboelectrochemical techniques to characterize the polished wafer surface and to understand mechanisms of materials removal. There are two approaches in this research. Experimentally, a setup containing a tribometer and a potentiostat was built. It enabled simultaneous measurement in friction coefficient and electrochemical response of wafer materials. Theoretically, electrochemical reactions and Hertzian contact were analyzed on ECMPed wafers in terms of mechanisms of step height reduction in anodic and cathodic ECMP in corresponds to surface chemistry. Results revealed the nature of limitation of ECMP for global planarization. In order to further the fundamental investigation of ECMP, the potentiostatic electrochemical impedance spectroscopy (EIS) was utilized to study the interface kinetics. It was revealed that the formation of Cu oxide films was affected by the electrical potentials. Through in situ measurement, it was found that the tribological behavior depend on the surface chemistry and surface morphology under the influence of anodic potentials. The potentiodynamic polarization results explained the removal and formation mechanisms of interface. The results showed that the cycle of passivation/removal was a function of mechanical factor such as the load and speed. The new model was developed via material removal rate (MRR) in both electrochemical and mechanical aspects. The quantitative contribution of electrochemical potential to overall removal was established for the first time. It was further confirmed by Ru and the electrochemical constant j was developed for metal ECMP. This dissertation includes seven chapters. Chapter I Introduction and II Motivation and Objectives are followed by the materials setup and testing conditions discussed in Chapter III. The tribological and electrochemical characterization of the Cu patterned geometry is discussed in Chapter IV. Chapter V discusses the kinetics of the interface during polishing and its removal mechanisms. Chapter VI discusses the synergism of ECMP, followed by Conclusions and Future work.
3

Mechanics of the pad-abrasive-wafer contact in chemical mechanical polishing a dissertation /

Bozkaya, Dinçer, January 1900 (has links)
Title from title page (viewed April 28, 2010) Graduate School of Engineering. Dept. of Mechanical and Industrial Engineering. Includes bibliographical references.
4

Drain diverter a thesis /

Kim, Hyung Joon, 1976- Cirovic, Michael M. January 1900 (has links)
Thesis (M.S.)--California Polytechnic State University, 2009. / Title from PDF title page; viewed on February 2, 2010. Major professor: Michael M. Cirovic, Ph.D. "Presented to the faculty of California Polytechnic State University, San Luis Obispo." "In partial fulfillment of the requirements for the degree [of] Master of Science in Electrical Engineering." "November 2009." Includes bibliographical references (p. 28).
5

Wear and Contact Phenomena in Existing and Future Large-Scale Chemical Mechanical Planarization Processes

Jiao, Yubo January 2012 (has links)
This dissertation presents a series of studies with regards to wear and contact phenomena in existing and future large-scale chemical mechanical planarization (CMP). They are also evaluated with the purposes of reducing cost of ownership (COO) and minimizing environmental impacts. The first study is performed to investigate the effect of retaining ring slot design, material and temperature on pad wear during interlayer dielectric CMP. During polishing, friction is generated at the retaining ring-pad interface resulting in pad wear. Three retaining rings are used in this study and the results show that the retaining ring material and temperature have significant impacts on the pad wear rate. In the next study, a custom-made sample holder is designed to heat pad sample. Pad surface contact area and density are measured at three different temperatures using laser confocal microscopy to illustrate the effect of temperature on the mechanical contact during CMP. In the third study, the tribological, thermal and kinetic attributes of 300 mm copper CMP process are investigated. The current state-of-the-art IC manufacturing factories have migrated from 200 mm wafer processes to 300 mm to reduce manufacturing COO and increase throughput. In this study, a two-step modified Langmuir-Hinshelwood model is used to simulate copper removal rate as well as chemical and mechanical dominance during CMP. The fourth study evaluates the relationship between planarization and pad surface contact area and micro-topography using laser confocal microscopy. Results of confocal microscopic analysis are correlated with polishing performance in terms of coefficient of friction, removal rate, time to clear, dishing and erosion. As Ti has recently regained attention in copper barrier applications, the effect of temperature during Ti CMP is investigated in another study to provide fundamental understanding of Ti removal mechanism. The last contribution of this dissertation involves a study on 450 mm CMP process. An existing 300 mm CMP tool is modified to polish both 300 and 450 mm wafers to demonstrate experimentally whether any differences exist in the tribological and thermal characteristics of the two processes, and from that, to infer whether one can expect any removal rate difference between the two systems.
6

CFD simulation of contact planarization

Vusirikala, Shanti, January 2007 (has links) (PDF)
Thesis (M.S.)--University of Missouri--Rolla, 2007. / Vita. The entire thesis text is included in file. Title from title screen of thesis/dissertation PDF file (viewed March 25, 2008) Includes bibliographical references (p. 77-79).
7

Methods for Efficient Slurry Utilization and Tribological Stability Analysis in Chemical Mechanical Planarization

Bahr, Matthew, Bahr, Matthew January 2017 (has links)
This thesis presents a series of studies pertaining to tribological, thermal, kinetic and slurry utilization aspects of chemical mechanical planaraization processes. The purpose of this work is to both develop a better method of characterizing the tribological mechanisms during polishing, as well as propose methods by which slurry utilization efficiency can be increased in order to minimize environmental hazards and operational costs associated with polishing without compromising the desired polish outcomes. The first study was conducted using a modified version of the generic Stribeck curve using real-time shear and down force data collection at 1,000 Hz. This investigation served to provide a better understanding of the tribological and thermal mechanisms associated with polishing copper and tungsten blanket wafers on an industrially relevant soft pad. A multitude of gradual yet continuous changes in sliding velocity and polishing pressure were applied during polishing. Results indicated that polishing on the soft pad produced stable coefficient of friction (COF) values entirely within the "boundary lubrication" regime, while copper polishing on a hard pads produced a tremendous spread of data and resulted in both “boundary lubrication” and "mixed lubrication" regimes. In addition, the average pad surface temperature showed a linear relationship with the product of the COF, sliding velocity, and downward pressure for all copper and tungsten polishes on both soft and hard pads. Another study in this thesis investigated slurry availability and the extent of slurry mixing for three different slurry injection schemes. An ultraviolet enhanced fluorescence technique was employed to qualitatively measure slurry film thicknesses atop the pad surface during polishing. This study investigated standard pad-center point slurry dispensing and a slurry injection system (SIS) that covered only the outer half of the wafer track. Results indicated that the radial position of slurry injection and fluid interactions with the SIS greatly influenced slurry mixing and availability atop the pad. Silicon dioxide removal rates were also found to increase as slurry availability increased. Using a combination of standard pad-center slurry dispensing and a half-wafer track SIS resulted in similar silicon dioxide removal rates as standard pad-center slurry dispensing but at a 40% lower slurry flowrate. The final study in this thesis investigated the effects of ultrapure (UPW) water dilution of a ceria-based slurry on silicon dioxide removal rates. Results showed that pre-mixing the slurry and UPW increased the removal rate with dilution up to a slurry to UPW ratio of 1:7.5 due to the increasing presence of Ce3+ via the reduction of Ce4+ by UPW. Further dilution yielded a plateau in the removal rate trend as additional UPW reduced the coefficient of friction (COF) and the temperature during polishing, causing the benefits of increased ceria-silica binding to be offset by mechanical limitations. Mixing the slurry directly at point-of-use at the dispense nozzle resulted in a removal rate trend that was highly similar to pre-mixing, however, removal rates were higher at every dilution ratio. A novel slurry injection system (SIS) was employed at various rotation angles as measured from the leading edge. The SIS angles produced different retaining ring bow wave thicknesses, which led to varying extents of dilution and, by extension, removal rates. The SIS at -8° produced the highest removal rates of all angles. A third dilution ratio test was performed using point-of-use mixing through the SIS at the optimum angle of -8°, which resulted in a similar removal rate trend as pre-mixing and pad-center dispense point-of use mixing, but with dramatically higher removal rates at each dilution ratio. The ability to attain higher removal rates could potentially allow integrated circuit (IC) manufacturers to either reduce polishing times or reduce slurry consumption, subsequently reducing slurry waste and creating a more environmentally benign semiconductor manufacturing process.
8

Tribological, Kinetic and Thermal Characteristics of Copper Chemical Mechanical Planarization

Li, Zhonglin January 2005 (has links)
Copper polishing performance depends significantly on the properties of pads, slurries, conditioning, pressure, sliding velocity, slurry flow rate and temperature. A slight variance in each of these parameters will cause significant change in polising results. Various investigations are performed during this study to understand the effect of consumables and other main operating parameters on copper polishing in terms of removal rate, lubrication mechanism, and temperature transients. A modified two-step Langmuir-Hinshelwood removal rate model and a flash heating thermal model are developed to describe the removal mechanism.Results indicate that grain size plays an important role during copper polishing. Smaller grain size may enhance the chemical rate by providing a higher density of favorable reaction sites. However, denser grain boundaries due to smaller grain size may reduce the mechanical rate by increasing the probability of disruption of three body sliding contact. It is found that removal rate increases as slurry flow increases from 60 to 80 cc/min because higher slurry flow rate can provide more reactants to the system. Then removal rate decreases as slurry flow rate is further increased to 140 cc/min, which is due to synergic effects of the wafer temperature, slurry flow and slurry residence time under the wafer. The observed removal rate drop is thought to be due to the change of the wafer temperature at high sliding velocity.Experimental results from eight slurry formulations with various abrasize size and content show that in the case of 13-nm abrasives, the dominant tribological mechanism is that of partial lubrication, while in the case of 35-nm abrasives, the dominant tribological mechanism is that of boundary lubrication. COF values of the slurry with surfactant are generally lower that those of the slurry without surfactant.Logarithmic spiral positive pad, whose spiral groove is at a slight angle to the pad rotation direction, shows the highest average COF. The radial pad results in the smallest average COF. For all types of the grooved pads investigated, CMP is mechanically limited at low pV, and chemically limited at high pV. Non-Prestonian behavior is thought to be due to variations of COF and substrate temperatures.Dual Emission UV Light Enhanced Fluorescence results indicate that during polishing the wafer is tilted towards the center of the pad and that the extent of wafer tilt is a strong function of diamond disc pressure. Increasing the oscillation frequency of the diamond disc or the rotation rate decreases slurry film thickness. Slurry film thickness increases with the slurry flow rate. Also slurry film thickness strongly depends on diamond disc design.
9

Fundamental Consumables Characterization of Advanced Dielectric and Metal Chemical Mechanical Planarization Processes

Sampurno, Yasa January 2008 (has links)
This dissertation presents a series of studies relating to kinetics and kinematics of inter-layer dielectric and metal chemical mechanical planarization processes. These are also evaluated with the purposes of minimizing environmental and cost of ownership impact.The first study is performed to obtain the real-time substrate temperature during the polishing process and is specifically intended to understand the temperature distribution across the polishing wafer during the chemical mechanical planarization process. Later, this technique is implemented to study the effect of slurry injection position for optimum slurry usage. It is known that the performance of chemical mechanical planarization depends significantly on the polishing pad and the kinematics involved in the process. Variations in pad material and pad grooving type as well as pressure and sliding velocity can affect polishing performance. One study in this dissertation investigates thermoset and thermoplastic pad materials with different grooving methods and patterns. The study is conducted on multiple pressure and sliding velocity variations to understand the characteristic of each pad. The analysis method elaborated in this study can be applied generically.A subsequent study focuses in a slurry characterization technique. Slurry, a critical component in chemical mechanical planarization, is typically a water-based dispersion of fine abrasive particles with various additives to control material removal rate and microscratches. Simultaneous turbidity and low angle light scattering methods under well-defined mixing conditions are shown to quantify the stability of abrasive particle from aggregations. Further contribution of this dissertation involves studies related to the spectral analysis of raw shear force and down force data obtained during chemical mechanical planarization. These studies implemented Fast Fourier Transforms to convert force data from time to frequency domain. A study is performed to detect the presence of larger, defect-causing particles during polishing. In a further application on diamond disc conditioning work is performed to achieve optimum break-in time and an optimum conditioning duty cycle. Studies on spectral analysis are also extended to planarization of shallow trench isolation pattern wafers to monitor the polishing progress in real-time.
10

Achieving High Rates and High Uniformity in Copper Chemical Mechanical Polishing

Nolan, Lucy M Unknown Date
No description available.

Page generated in 0.1619 seconds