• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 18
  • 15
  • 2
  • Tagged with
  • 37
  • 37
  • 9
  • 6
  • 5
  • 5
  • 5
  • 5
  • 4
  • 4
  • 3
  • 3
  • 3
  • 3
  • 3
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
21

A hybrid computer simulation of an EHV-DC converter and its controls

Hoettger, William Edward. January 1969 (has links)
Thesis (M.S.)--University of Wisconsin--Madison, 1969. / eContent provider-neutral record in process. Description based on print version record. Includes bibliographical references.
22

A hybrid computer study of major transients in the canine cardiovascular systems

Dick, Donald Edward. January 1968 (has links)
Thesis (Ph. D.)--University of Wisconsin--Madison, 1968. / Typescript. Vita. eContent provider-neutral record in process. Description based on print version record. Includes bibliography.
23

Requirements for a Remote Access Hybrid Computer Terminal Utilizing Common Grade Telephone Lines

Hester, William Myers 01 January 1974 (has links) (PDF)
No description available.
24

HYBRID COMPUTER OPTIMIZATION OF SYSTEMS WITH RANDOM PARAMETERS

White, Robert Cantey, 1942- January 1970 (has links)
No description available.
25

A modern hybrid computer interface

Wilkins, Jeffrey Martin, 1944- January 1970 (has links)
No description available.
26

The design of a multiplying digital-to-analog converter for wideband hybrid computation

Eddington, Don Charles, 1945- January 1969 (has links)
No description available.
27

A study of the human venous system using hybrid computer modeling

Snyder, Maurice Francis, January 1900 (has links)
Thesis (Ph. D.)--University of Wisconsin--Madison, 1969. / Typescript. eContent provider-neutral record in process. Description based on print version record. Includes bibliographical references.
28

Hybrid computer simulation of a fluid compartment model of pulmonary edema /

Shultheis, David Carl January 1977 (has links)
No description available.
29

Plotting Frequency Response with the Hybrid Computer

Swartwood, David Kenneth 01 January 1976 (has links) (PDF)
This paper describes a hybrid computer microprogram which plots frequency domain responses for linear systems. The microprogram computes the real and imaginary parts of the system output and displays either Bode or Nyquist plots. Various approaches are discussed and a detailed explanation of the one selected is presented. The major areas of discussion are the sinewave generator, the computation of real and imaginary parts of the system output, the logarithm computation and the digital control logic. The conclusion give s comparison of a Nyquist plot made with the microprogram with one calculated by a digital computer. Possible improvements for the microprogram are also discussed.
30

Hybrid Analog-Digital Co-Processing for Scientific Computation

Huang, Yipeng January 2018 (has links)
In the past 10 years computer architecture research has moved to more heterogeneity and less adherence to conventional abstractions. Scientists and engineers hold an unshakable belief that computing holds keys to unlocking humanity's Grand Challenges. Acting on that belief they have looked deeper into computer architecture to find specialized support for their applications. Likewise, computer architects have looked deeper into circuits and devices in search of untapped performance and efficiency. The lines between computer architecture layers---applications, algorithms, architectures, microarchitectures, circuits and devices---have blurred. Against this backdrop, a menagerie of computer architectures are on the horizon, ones that forgo basic assumptions about computer hardware, and require new thinking of how such hardware supports problems and algorithms. This thesis is about revisiting hybrid analog-digital computing in support of diverse modern workloads. Hybrid computing had extensive applications in early computing history, and has been revisited for small-scale applications in embedded systems. But architectural support for using hybrid computing in modern workloads, at scale and with high accuracy solutions, has been lacking. I demonstrate solving a variety of scientific computing problems, including stochastic ODEs, partial differential equations, linear algebra, and nonlinear systems of equations, as case studies in hybrid computing. I solve these problems on a system of multiple prototype analog accelerator chips built by a team at Columbia University. On that team I made contributions toward programming the chips, building the digital interface, and validating the chips' functionality. The analog accelerator chip is intended for use in conjunction with a conventional digital host computer. The appeal and motivation for using an analog accelerator is efficiency and performance, but it comes with limitations in accuracy and problem sizes that we have to work around. The first problem is how to do problems in this unconventional computation model. Scientific computing phrases problems as differential equations and algebraic equations. Differential equations are a continuous view of the world, while algebraic equations are a discrete one. Prior work in analog computing mostly focused on differential equations; algebraic equations played a minor role in prior work in analog computing. The secret to using the analog accelerator to support modern workloads on conventional computers is that these two viewpoints are interchangeable. The algebraic equations that underlie most workloads can be solved as differential equations, and differential equations are naturally solvable in the analog accelerator chip. A hybrid analog-digital computer architecture can focus on solving linear and nonlinear algebra problems to support many workloads. The second problem is how to get accurate solutions using hybrid analog-digital computing. The reason that the analog computation model gives less accurate solutions is it gives up representing numbers as digital binary numbers, and instead uses the full range of analog voltage and current to represent real numbers. Prior work has established that encoding data in analog signals gives an energy efficiency advantage as long as the analog data precision is limited. While the analog accelerator alone may be useful for energy-constrained applications where inputs and outputs are imprecise, we are more interested in using analog in conjunction with digital for precise solutions. This thesis gives novel insight that the trick to do so is to solve nonlinear problems where low-precision guesses are useful for conventional digital algorithms. The third problem is how to solve large problems using hybrid analog-digital computing. The reason the analog computation model can't handle large problems is it gives up step-by-step discrete-time operation, instead allowing variables to evolve smoothly in continuous time. To make that happen the analog accelerator works by chaining hardware for mathematical operations end-to-end. During computation analog data flows through the hardware with no overheads in control logic and memory accesses. The downside is then the needed hardware size grows alongside problem sizes. While scientific computing researchers have for a long time split large problems into smaller subproblems to fit in digital computer constraints, this thesis is a first attempt to consider these divide-and-conquer algorithms as an essential tool in using the analog model of computation. As we enter the post-Moore’s law era of computing, unconventional architectures will offer specialized models of computation that uniquely support specific problem types. Two prominent examples are deep neural networks and quantum computers. Recent trends in computer science research show these unconventional architectures will soon have broad adoption. In this thesis I show another specialized, unconventional architecture is to use analog accelerators to solve problems in scientific computing. Computer architecture researchers will discover other important models of computation in the future. This thesis is an example of the discovery process, implementation, and evaluation of how an unconventional architecture supports specialized workloads.

Page generated in 0.0601 seconds