• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 3
  • 2
  • Tagged with
  • 6
  • 4
  • 3
  • 2
  • 2
  • 2
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

Megasonic Cleaning of Wafers in Electrolyte Solutions: Possible Role of Electro-acoustic and Cavitation Effects

Keswani, Manish January 2008 (has links)
Megasonic cleaning is routinely used in the semiconductor industry to remove particulate contaminants from wafer and mask surfaces. Cleaning is achieved through proper choice of chemical solutions, power density and frequency of acoustic field. Considerable work has been done to increase understanding of particle removal mechanisms in megasonic cleaning using different solution chemistries with varying ionic strengths. However, to date, the focus of all these studies of particle removal has been either cavitation or acoustic streaming.The propagation of sound waves through a colloidal dispersion containing ions is known to result in the generation of two types of oscillating electric potentials, namely, Ionic Vibration Potential (IVP) and Colloid Vibration Potential (CVP). These potentials and their associated electric fields can exert forces on charged particles adhered to a surface, resulting in their removal. In addition, the pressure amplitude of the sound wave is also altered in solutions of higher ionic strengths, which can affect the cavitation process and further aid in the removal of particles from surfaces. To test the two hypotheses, investigations have been conducted on the feasibility of removal of charged particles from silicon wafers in electrolyte solutions of different ionic strengths irradiated with a megasonic field of different power densities. Cleaning experiments have been performed using potassium chloride (KCl) as a model electrolyte and silica particles as model contaminant particles. The cleaning performance in KCl solution has been compared to that in other electrolytes solutions such as sodium chloride, cesium chloride and lithium chloride. In order to characterize the cavitation events in KCl solutions, acoustic pressure and sonoluminescence measurements have been performed using hydrophone and cavitation probe respectively. The results indicate that particle removal efficiency (PRE) increases with KCl concentration and transducer power density and much lower power densities are required at higher KCl concentration for a comparable level of cleaning. Further, cleaning performances in NaCl and CsCl were found to be superior to those in KCl and LiCl solutions. Theoretical computations show that the removal forces due to CVP are much larger in magnitude than those due to IVP and are comparable to van der Waals forces.
2

Particle Removal and Feature Damage Reduction Using Carbonated Ammonia Solutions for Enhanced Megasonic Cleaning Processes

Han, Zhenxing January 2013 (has links)
In integrated circuit (IC) manufacturing, particulate contamination from hundreds of processe steps is a major cause of yield loss. The removal of particles is typically achieved through liquid chemical formulations aided by a sound field in the MHz frequency range. When liquid is irradiated with megasonic waves, dissolved gases play an important role in particle removal and feature damage. To take the advantage of the beneficial effect of CO₂ (aq.), this thesis describes the development and optimization of a megasonic cleaning process using a chemical system containing NH₄OH and NH₄HCO₃ at an alkaline pH in which a specific amount of aqueous CO₂ can be maintained to minimize feature damage. In addition, certain etching effects at a slightly alkaline pH were supported for achieving high particle removal. Sonoluminescence (SL) data were collected from these cleaning solutions and correlated with the cleaning performance. The intensity of SL is believed to be a sensitive indicator of transient cavitation during megasonic irradiation, which is thought to be responsible for fragile feature damage. To further analyze the SL signal with respect to the emission from hydroxyl radicals, single-band filters were used to collect the SL signal in different wavelength ranges. The study of particle removal and feature damage was performed using a single-wafer cleaning tool, MegPie® (ProSys, Inc.), which provided acoustic irradiation at a frequency of 0.925 MHz. Commercially available SiO₂ slurry with 200 ± 20 nm particles was used for particle contamination. Particle removal was investigated on both blanket SiO₂ samples and patterned samples. Feature damage studies were conducted on patterned samples by examining the number of line breakages per unit area. By adjusting the pH in NH₄OH/NH₄HCO₃ solutions from 7.8 to 8.5, the amount of CO₂ (aq.) was varied. At a pH of 8.2 with ~ 320 ppm CO₂ (aq.) in the cleaning solution, a high particle removal efficiency was achieved (> 90%) at an acoustic power intensity of 1 W/cm² for an exposure time of 60 s, and the feature damage was reduced by > 50%. For SL signal analysis, band filters in the wavelength range of (i) 280 – 305.5 nm, (ii) 300 – 340 nm, (iii) 335 – 375 nm, and (iv) 374.5 – 397.5 nm were used to resolve the SL spectrum in these wavelength ranges. The filters were sandwiched, one at a time, between the optical window and the photomultiplier tube (PMT) in the Cavitation Threshold (CT) cell. Air-, Ar-, and CO₂-containing DI water (at pH 4.53 with ~ 90 ppm aqueous CO₂) was pumped through the cell at a flow rate of 130 ml/min. The acoustic power was ramped from 0.1 to 4 W/cm² at an acoustic frequency of 0.925 MHz. The SL signal intensity showed the highest value in the ranges of 300 – 340 and 335 – 375 nm in air- and Ar-saturated DI water, which is due to the emission from excited hydroxyl radicals. These results are consistent with an SL spectrum analysis performed using expensive optical set-ups. In CO₂-containing DI water, the SL signal intensity was suppressed by a factor of 100. The methodology reported in this work is simple, inexpensive, and capable of capturing SL spectral features due to hydroxyl radicals.
3

CONTROL OF CAVITATION USING DISSOLVED CARBON DIOXIDE FOR DAMAGE-FREE MEGASONIC CLEANING OF WAFERS

Kumari, Sangita January 2011 (has links)
This dissertation describes the finding that dissolved carbon dioxide is a potent inhibitor of sonoluminescence and describes the implications of the finding in the development of improved megasonic cleaning formulations. Megasonic cleaning, or the removal of contaminants particles from wafer surfaces using sound-irradiated cleaning fluids, has been traditionally used in the semiconductor industry for cleaning of wafers. Recently however, advancing technology and miniaturization has made wafer features increasingly susceptible to damage by megasonic energy. International Technology Roadmap for Semiconductors (ITRS) 2011 predicts the critical particle diameter, critical particle count and killer defect numbers to be 22 nm, 113 #/wafer and 4.3 #/mm², respectively, on a 300 mm wafer for 45 nm technology node. A critical challenge in the field, therefore, is to achieve removal of small particles (22 nm to 200 nm) without causing damage to fine wafer features. The work described here addresses this challenge by identifying sonoluminescence and solution pH as two key factors affecting damage and cleaning efficiency, respectively and establishing novel means to control them using CO₂(aq) release compounds in the presence of acids and bases. Sonoluminescence (SL) behavior of the major dissolved gases such as Ar, Air, N₂, O₂ and CO₂ was determined using a newly designed Cavitation Threshold Cell (CT Cell). SL, which is the phenomenon of release of light in sound-irradiated liquids, is a sensitive indicator of cavitation, primarily transient cavitation. It was found that all the tested dissolved gases such as Ar, Air, N₂ and O₂, generated SL signal efficiently. However, dissolved CO₂ was found to be completely incapable of generating SL signal. Based on this interesting result, gradual suppression of SL signal was demonstrated using CO₂(aq). It was further demonstrated that CO₂(aq) is not only incapable but is also a potent inhibitor of SL. The inhibitory role of CO₂(aq) was established using a novel method of controlled in-situ release of CO₂ from NH₄HCO₃. ~130 ppm CO₂(aq) was shown to be necessary and sufficient for complete suppression of SL generation in air saturated DI water. The method however required acidification of solution for significant release of CO₂, making it unsuitable for the design of cleaning solutions at high pH. Analysis of the underlying ionic equilibria revealed that the loss of released CO₂(aq) upon increase in pH can be compensated by moderate increase in added NH₄HCO₃. Using this method, simultaneous control of SL and solution pH was demonstrated in two systems, NH₄HCO₃/HCl and NH₄OH/CO₂, at two nominal pH values; 5.7 and 7.0. Damage studies were performed on wafer samples with line/space patterns donated by IMEC and FSI International bearing Si/metal/a-Si gate stacks of thickness ~36 nm and Si/Poly-Si gate stacks of thickness ~67 nm, respectively. A single wafer spin cleaning tool MegPie® was used for the generation of megasonic energy for inducing damage to the structures. It was demonstrated that CO₂ dissolution in DI water suppresses damage to the gate stacks in a dose-dependent manner. Together, these studies establish a systematic and strong correlation between CO₂(aq) concentration, SL suppression and damage suppression. Significant damage reduction (~50 % to ~90 %) was observed at [CO₂(aq)] > ~300 ppm. It was also demonstrated that CO₂(aq) suppresses damage under alkaline pH condition too. This demonstration was made possible by the successful design of two new cleaning systems NH₄HCO₃/NH₄OH and CO₂/NH4OH that could generate CO₂(aq) under alkaline conditions. Damage suppressing ability of the newly designed cleaning systems were compared to the standard cleaning system NH₄OH at pH 8.2 and it was found that NH₄HCO₃/NH₄OH and CO₂/NH₄OH systems were 80 % more efficient in suppressing damage compared to the standard NH₄OH cleaning system. Finally, megasonic cleaning studies were conducted in the same single wafer spin cleaning tool MegPie®, using SiO₂ particles (size 185 nm) deposited on 200 mm oxide Si wafers, as the contaminant. It was found that the standard cleaning chemical, NH₄OH, pH 8.2, was effective in achieving > 95 % particle removal for 2 min irradiation of megasonic energy at power densities > 0.7 W/cm². Based on these results, a new system, NH₄HCO₃/NH₄OH, was designed with an aim to release ~300 ppm CO₂ at pH 8.2. It was demonstrated that newly designed system NH₄HCO₃/NH₄OH, allowed significant suppression of damage in comparison to NH₄OH while maintaining > 90 % cleaning efficiency that was comparable to NH₄OH solution, at the same acoustic power densities. Taken together, these studies establish a potent and flexible means for the inhibition of SL generation over a wide pH range and acoustic power densities and demonstrate its use in suppression of wafer damage without compromising megasonic cleaning efficiency.
4

Application of Water/Wastewater Treatment in Trace Organic Compounds Removal and Other Industry Sectors

Dong, Bingfeng January 2014 (has links)
Wastewater reuse is fast becoming an imperative issue based on the developments in water/wastewater engineering coupled with increasing pressures on water resources. Trace organic compounds (TOrCs) that exist in water/wastewater, are a serious threat once they were released in the environment. During the past decade, there has been much progress toward understanding the occurrence, fate and toxicology of trace organic pollutants that enter the environment in treated wastewater. The objective of the first part of this research was to evaluate the combined effects of sequential anaerobic/aerobic digestion on residual TOrCs, concentrating on chemicals that are responsible for observed estrogenic/androgenic activities in biosolids. Full-scale digestion was simulated using bench-scale bioreactors in which the primary independent variables were retention time, temperature, and oxygen loading during aerobic digestion. Treatment-dependent changes in estrogenic/androgenic activity and concentrations of specific Endocrine disrupting compounds (EDCs) were measured. Results suggest that standard mesophilic anaerobic digestion increases the total estrogenic/androgenic activity of sludge while aerobic digestion was effective in the reduction of estrogenic/androgenic activity as a supplementary treatment stage. The second part of the study was focused on the fate of TOrCs and estrogenic activity in water and sediment of the Santa Cruz River, which is effluent dependent except during infrequent periods of rainfall/runoff in Tucson area. Several sampling campaigns were carried out from 2011 to 2013. Results suggest that some organic TOrCs, including those that contribute to estrogenic activity, were rapidly attenuated with distance and time of travel in the Santa Cruz River. Indirect photolysis of estrogenic compounds through the river might play an important role for the observation of estrogenic activity changes in the SCR. Hydrophobic TOrCs may accumulate in river sediments during dry weather periods. Riverbed sediment quality is periodically improved through storm-related scouring during periods of heavy rainfall and runoff. Wastewater effluent can be applied to the algal biodiesel industry based on regional water stress across the world. In the third part of the research, reclaimed wastewater was explored for this purpose, simultaneously satisfying the needs for water, macronutrients such as nitrogen and phosphorus, and micronutrients necessary for growth of microalgae. At the same time, algal growth in conventionally treated wastewater will improve water quality through the same nutrient removal processes and perhaps by lowering residual levels of trace organics that are an impediment to potable reuse. Results showed that metals levels in most municipal wastewaters are unlikely to disrupt growth, at least by metals tolerant microalgae like Nannochloropsis salina. Cells can grow without inhibition on nutrients from treated municipal wastewater or a centrate stream derived from wastewater treatment. The results also suggest while wastewater provides a suitable nutrient source for algal growth, there is simply not enough municipal wastewater available to support a meaningful biofuels industry without water recycling and nutrient recovery/reuse from spent algae. The last part of the dissertation was the application of water/wastewater treatment techniques, specifically advanced oxidation processes (AOPs) in other industrial sectors. In the integrated circuit production industry, chemical formulations used for megasonic cleaning typically contain hydroxides, peroxides and carbonates, which can affect particle removal efficiency and feature damage. The role of carbonates and ammonia in modulating the oxidation power of megasonic irradiated alkaline solutions through the scavenging of hydroxyl radicals by varying levels of carbonates, bicarbonates, ammonia and solution temperatures on net generation of hydroxyl radicals for applications in semiconductor industry was investigated in this study. The simulation of actual megasonic cleaning process was carried out at acoustic frequency of ~ 1 MHz and different power densities. Carbonate ions were better scavengers of hydroxyl radicals than bicarbonate ions. The effect of bulk solution temperature revealed that the rate of generation of hydroxyl radicals at a power density of 8 W/cm² increased with temperature from 10-30°C, which suggests an increase of transient cavitation with temperature.
5

Nano-Particle Removal from Surface of Materials Used in EUV Mask Fabrication

Pandit, Viraj Sadanand January 2006 (has links)
With device scaling, the current optical lithography technique is reaching its technological limit to print small features. Extreme Ultra-Violet (EUV) lithography has shown promise to print extremely thin lines reliably and cost-effectively. Many challenges remain before introducing EUV to large scale manufacturing. The main challenge addressed in this study is particle removal from EUV mask surfaces (CrON1, CrON2, and fused silica) and thermal oxide (SiO₂). Effective pre-clean procedures were developed for each surface. As chemical cleaning methods fail to meet SEMATECH criteria, addition of megasonic energy to EUV mask cleaning baths is seen as a promising cleaning methodology. As the requirement to print fine lines needs to be met, all materials used in EUV mask fabrication either absorb the incident EUV wavelength light or reflect it. Therefore, the masks used in the industry will be reflective instead of the conventional transmissive masks. Also, for the same reason, no protective pellicle can be used leading to all the surfaces unprotected from particle contamination. To avoid the detrimental effect of the particle contamination, a cleaning study for nano-particle removal was performed. A dark field microscope was utilized to study the removal of gold nano-particles from surfaces. The cleaning procedures utilized H₂SO₄ and NH₄OH chemistries with and without megasonic irradiation. The cleaning variables were bath concentration, temperature, and megasonic power. The contamination variables were the gold nanoparticles charge and size, from 40nm to 100nm. For 100 nm negatively charged gold nano-particles deposited on a CrON1 surface, a 1:10 H₂SO₄:DI bath at boiling temperature (101°C) without megasonics gave high particle removal efficiency (PRE) values as did a 1:10 H₂SO₄:DI bath at 35°C with 100W megasonics. Comparison of removal of poly diallyl-dimethyl ammonium chloride (PDAC) coated and uncoated gold nano-particles deposited on a CrON1 surface using dilute H₂SO₄ baths indicated that the coated, positively charged nano-particles were more difficult to remove. PRE trends for different baths indicate surface dissolution (shown to be thermodynamically favorable) as the particle removal mechanism. However, experimental etch rates indicated minimal surface etching in a 10 minute bath. Increased surface roughness indicated possible local galvanic corrosion at particle sites. Low surface etching results meet SEMATECH requirements. During the fused silica surface cleaning study, particle charge (negative) and size (100 nm) of the contamination source and cleaning bath chemistry (NH₄OH) were kept constant. Low PREs were obtained at room temperature for all NH₄OH bath concentrations; however, high PREs were obtained at an elevated temperature (78°C) without megasonics and at room temperature in more dilute chemistries with megasonic power applied. Similar PRE trends were demonstrated for thermal SiO₂ surfaces. The experimental etch rates of the thermal SiO₂ agree with published values.
6

Electrodeposition of indium bumps for ultrafine pitch interconnections

Tian, Yingtao January 2010 (has links)
Microelectronics integration continuously follows the trend of miniaturisation for which the technologies enabling fine pitch interconnection are in high demand. The recent advancement in the assembly of Hybrid Pixel Detectors, a high resolution detecting and imaging device, is an example of where novel materials and processes can be applied for ultra-fine pitch interconnections. For this application, indium is often used for the fine pitch bump bonding process due to its unique properties that make it especially suitable, in particular in a cryogenic environment where some types of detector have to serve. Indium bumps are typically fabricated through vacuum evaporation at the wafer level; however, this thesis investigates an alternative low cost manufacturing process at the wafer scale for the deposition of indium micro-bumps through electroplating. The work has placed its emphasis on the requirements of future technologies which will enable a low temperature (<150oC), high density interconnection (> 40,000 IOs/cm2) with a high throughput and high production yield. This research is a systematic investigation of the wafer-scale indium bumping process through electrodeposition using indium sulphamate solution. An intensive experimental study of micro-bump formation has been carried out to elaborate the effects of two of the main electroplating factors that can significantly influence the quality of bumps in the course of electrodeposition, namely the current distribution and mass transport. To adjust the current density distribution, various waveforms of current input, including direct current (DC), unipolar pulse current and bipolar pulse reverse current, were employed in the experiments. To assist mass transportation prior to or during electroplating, acoustic agitation including ultrasonic agitation at 30 kHz frequency as well as megasonic agitation at 1 MHz, were utilised. The electrochemical properties of the indium sulphamate solution were first investigated using non-patterned plain substrates prior to indium bumping trials. This provided understanding of the microstructural characteristics of indium deposits produced by electroplating and, through cathodic polarisation measurements, the highest current density suitable for electrodeposition was achieved as approximately 30 mA/cm2 when electroplating was carried out at room temperature and with no agitation applied. The typical surface morphology of DC electroplated indium contained a granular structure with a surface feature size as large as 10 µm. Pulse and pulse reverse electroplating significantly altered the surface morphology of the deposits and the surface became much smoother. By introducing acoustic agitation, the current density range suitable for electrodeposition could be significantly expanded due to the greater mass transfer, which led to a higher speed of deposition with high current efficiency. Wafer-scale indium bumping (15 µm to 25 µm diameter) at a minimum pitch size of 25 µm was successfully developed through electroplating trials with 3 inch test wafers and subsequently applied onto the standard 4 inch wafers. The results demonstrate the capability of electroplating to generate high quality indium bumps with ultrafine pitch at a high consistency and yield. To maximise the yield, pre-wetting of the ultrafine pitch photoresist patterns by both ultrasonic or megasonic agitation is essential leading to a bumping yield up to 99.9% on the wafer scale. The bump profiles and their uniformity at both the wafer and pattern scale were measured and the effects of electrodeposition regimes on the bump formation evaluated. The bump uniformity and microstructure at the feature scale were also investigated by cross-sectioning the electroplated bumps from different locations on the wafers. The growth mechanism of indium bumps were proposed on the basis of experimental observation. It was found that the use of a conductive current thief ring can homogenise the directional bump uniformity when the electrical contact is made asymmetrically, and improve the overall uniformity when the electrical contact is made symmetrically around the periphery of the wafer. Both unipolar pulse electroplating and bipolar pulse reverse electroplating improved the uniformity of the bump height at the wafer scale and pattern scale, and the feature scale uniformity could be significantly improved by pulse reverse electroplating. The best uniformity of 13.6% for a 4 inch wafer was achieved by using pulse reverse electroplating. The effect of ultrasonic agitation on the process was examined, but found to cause damage to the photoresist patterns if used for extended periods and therefore not suitable for use throughout indium bumping. Megasonic agitation enabled high speed bumping without sacrifice of current efficiency and with little damage to the photoresist patterns. However, megasonic agitation tended to degrade some aspects of wafer scale uniformity and should therefore be properly coupled with other electroplating parameters to assist the electroplating process.

Page generated in 0.0549 seconds