• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 1
  • 1
  • Tagged with
  • 2
  • 2
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

Plateforme de spécification pour le développement de bibliothèques de cellules et d'IPs / Specification Platform for Library IP Development

Chae, Jung Kyu 09 July 2014 (has links)
Une plateforme de conception est une solution totale qui permet à une équipe de conception de développer un système sur puce. Une telle plateforme se compose d'un ensemble de bibliothèques et de circuits réutilisables (IPs), d'outils de CAO et de kits de conception en conformité avec les flots de conception et les méthodologies supportés. Les spécifications de ce type de plateforme offrent un large éventail d'informations, depuis des paramètres de technologie, jusqu'aux informations sur les outils. En outre, les développeurs de bibliothèque/IP ont des difficultés à obtenir les données nécessaires à partir ces spécifications en raison de leur informalité et complexité. Dans cette thèse, nous proposons des méthodologies, des flots et des outils pour formaliser les spécifications d'une plateforme de conception et les traiter. Cette description proposée vise à être utilisée comme une référence pour générer et valider les bibliothèques et les IPs. Nous proposons un langage de spécification basé sur XML (nommé LDSpecX). De plus, nous présentons une méthode basée sur des références pour créer une spécification fiable en LDSpecX et des mots-clés basés sur des tâches pour en extraire les données efficacement. A l'aide des solutions proposées, nous développons une plateforme de spécification. Nous développons une bibliothèque de cellules standard en utilisant cette plateforme de spécification. Nous montrons ainsi que notre approche permet de créer une spécification complète et cohérente avec une réduction considérable du temps. Cette proposition comble également l'écart entre les spécifications et le système automatique existant pour le développement rapide de bibliothèques/IPs. / A design platform (DP) is a total solution to build a System-On-Chip (SOC). DP consists of a set of libraries/IPs, CAD tools and design kits in conformity with the supported design flows and methodologies. The DP specifications provide a wide range of information from technology parameters like Process-Voltage-Temperature (PVT) corners to CAD tools’ information for library/IP development. However, the library/IP developers have difficulties in obtaining the desired data from the existing specifications due to their informality and complexity. In this thesis, we propose methodologies, flows and tools to formalize the DP specifications for their unification and to deal with it. The proposed description is targeting to be used as a reference to generate and validate libraries (standard cells, I/O, memory) as well as complex IPs (PLL, Serdes, etc.). First, we build a suitable data model to represent all required information for library/IP development and then propose a specification language named Library Development Specification based on XML (LDSpecX). Furthermore, we introduce a reference-based method to create a reliable specification in LDSpecX and task-based keywords to efficiently extract data from it. On the basis of the proposed solutions, we develop a specification platform. Experimentally, we develop a standard cell library from the specification creation to library validation by using the specification platform. We show that our approach enables to create a complete and consistent specification with a considerable reduction in time. It also bridges the gap between the specification and current automatic system for rapid library/IP development.
2

Formalisation des modèles de la méthode MACAO et réalisation d'un outil de génie logiciel pour la création d'interfaces homme-machine.

Nicolas, Ferry 26 June 2008 (has links) (PDF)
Cette thèse s'inscrit dans le domaine de l'ingénierie des Interfaces Homme-Machine. Elle a pour thème la conception et la réalisation des modèles d'IHM de la méthode MACAO. Nous étudions l'axe de création des interfaces utilisateur en différenciant trois niveaux de la conception à la réalisation. L'approche adoptée s'appuie sur une analyse théorique et sur des cas d'expériences pratiques des modèles. Cette démarche entre dans le cadre très en vogue des modèles et des transformations de modèles. Cette étude nous conduit à distinguer les étapes de conception d'une IHM en milieu industriel et à mettre en place un processus pour la réalisation des modèles et des maquettes dans la phase de recueil des besoins. En étudiant sur des projets réels la conception des IHM utilisant une représentation abstraite, nous soulignons toute l'importance de traiter de l'interface utilisateur avec l'utilisateur final et l'importance de la concevoir comme un élément de l'architecture générale dès les phases de conception. Nous proposons un procédé de conception de l'architecture de l'IHM qui répond aux besoins de la conception d'une IHM. Ce processus est complété par des modèles à des niveaux de raffinement différents. Nous proposons des métamodèles de ces différentes vues de la création des IHM. Et nous proposons un outil de génie logiciel qui permet d'éditer et d'utiliser le modèle du SNI. De part ses critères et ses techniques de représentation, MACAO représente une des plus douces et progressives façons d'implémenter une interface homme-machine.

Page generated in 0.1123 seconds