• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 9
  • 7
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • Tagged with
  • 22
  • 22
  • 8
  • 5
  • 4
  • 4
  • 3
  • 3
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
21

Wet etching studies on electron cyclotron resonance (ECR) plasma enhanced chemical vapor deposited sin films

Balachandran, Kartik 01 July 2000 (has links)
No description available.
22

High Temperature Water as an Etch and Clean for SiO2 and Si3N4

Barclay, Joshua David 12 1900 (has links)
An environmentally friendly, and contamination free process for etching and cleaning semiconductors is critical to future of the IC industry. Under the right conditions, water has the ability to meet these requirements. Water becomes more reactive as a function of temperature in part because the number of hydronium and hydroxyl ions increase. As water approaches its boiling point, the concentration of these species increases over seven times their concentrations at room temperature. At 150 °C, when the liquid state is maintained, these concentrations increase 15 times over room temperature. Due to its enhanced reactivity, high temperature water (HTW) has been studied as an etch and clean of thermally grown SiO2, Si3N4, and low-k films. High temperature deuterium oxide (HT-D2O) behaves similarly to HTW; however, it dissociates an order of magnitude less than HTW resulting in an equivalent reduction in reactive species. This allowed for the effects of reactive specie concentration on etch rate to be studied, providing valuable insight into how HTW compares to other high temperature wet etching processes such as hot phosphoric acid (HPA). Characterization was conducted using Fourier transform infrared spectroscopy (FTIR) to determine chemical changes due to etching, spectroscopic ellipsometry to determine film thickness, profilometry to measure thickness change across the samples, scanning electron microscopy (SEM), contact angle to measure changes in wetting behavior, and UV-Vis spectroscopy to measure dissolved silica in post etch water. HTW has demonstrated the ability to effective etch both SiO2 and Si3N4, HT-D2O also showed similar etch rates of Si3N4 indicating that a threshold reactive specie concentration is needed to maximize etch rate at a given temperature and additional reactive species do not further increase the etch rate. Because HTW has no hazardous byproducts, high temperature water could become a more environmentally friendly etchant of SiO2 and Si3N4 thin films.

Page generated in 0.0528 seconds