• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 9
  • 3
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • Tagged with
  • 18
  • 18
  • 5
  • 3
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 1
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
11

Impedance determination of a RF plasma discharge by external measurements

Krautschik, Christof Gabriel, 1957- January 1989 (has links)
The equivalent impedance of a RF plasma was experimentally determined by monitoring the voltage and current waveform for different input powers in real time. Average ion energies and fluxes were determined by a computer model which takes ion collisions in the sheath regions into account. In addition two models were proposed which explain how RF energy is converted to DC potential energy in the sheath. Etch rates of Si in a CF₄ discharge were also evaluated and related to the measurements.
12

Anisotropic low-energy electron-enhanced etching of semiconductors in DC plasma

Steiner, Pinckney Alston, IV 08 1900 (has links)
No description available.
13

Simulation of polymer-deposition controlled trench etching in silicon

Sun, Chin-Yang, 1957- January 1988 (has links)
Reactive ion etching has been used to obtain anisotropic silicon trenches with small sidewall angles. This work demonstrates that the sidewall angle can be controlled by the wafer temperature and there exists an Arrhenius-type relationship among isotropic polymer deposition rate, thickness of polymer, and sidewall angle.
14

Design and evaluation of MAC protocols for hybrid fiber/coaxial systems

Sala, Dolors 05 1900 (has links)
No description available.
15

An integrated adaptive bias solution for zero passive component count high-performance mixed-signal ICs

Tabler, John A. 12 1900 (has links)
No description available.
16

Mechanisms and Development of Etch Resistance for Highly Aromatic Monomolecular Etch Masks - Towards Molecular Lithography

Jarvholm, Erik Jonas 09 April 2007 (has links)
The road map of the semiconductor industry has followed Moores Law over the past few decades. According to Moores Law the number of transistors in an integrated circuit (IC) will double for a minimum component cost every two years. The features made in an IC are produced by photolithography. Industry is now producing devices at the 65 nm node, however, for every deceasing node size, both the materials and processes used are not only difficult but also expensive to develop. Ultimately, the feature size obtainable via photolithography is dependent on the wavelength used in the process. The limitations of photolithography will eventually make Moores Law unsustainable. Therefore, new methodologies of creating features in the semiconductor substrate are desired. Here we present a new way to make patterns in silicon (Si) and silicon dioxide (SiO2), molecular lithography. Individual molecules and polymers, in a monolayer, serves directly as the etch mask; eliminating the photolighographic size limitation of light at a specific wavelength. The Ohnishi- and Ring parameter suggests that cyclic carbon rich molecules have a high resistance towards the plasma process, used to create the features in the substrate. Therefore highly aromatic molecules were investigated as candidates for molecular lithography. A monolayer of poly cyclic hydrocarbons, fullerene containing polymer, and fullerene molecules were created using the versatile photochemistry of benzophenone as the linker between the substrate and the material. First, a chlorosilane benzophenone derivative was attached to the Si/SiO2 surface. A thin film of the desired material is then created on top of the silane benzophenone layer. Irradiation at ~350 nm excites the benzophenone and reacts with neighboring alkyl chains. After covalent attachment the non-bonded molecules are extracted from the surface using a Soxhlet apparatus. Self-assembly, molecular weight, and wetting properties of the material dictates the features shape and size. These features are then serving as an etchmask in a fluorine plasma. The organic etch resist is then removed either in an oxygen plasma or in a piranha solution. AFM analysis revealed that 3 to 4 nm wide defined structures were obtained using C96 as the etch mask. This is about ten times smaller then industry standards. Also a depth profile of 50 nm, which is the minimum feature depth used in industry, was created using a fullerene containing polymer as the etch mask. Directionality and control over the shape and sizes of the features are naturally critical for implementing this technology in device fabrication. Therefore, alignment of the materials used has also been examined. Monolayers of highly stable molecules has successfully been used as etch masks. Further research and development could implement molecular lithography in device fabrication. Self-assembly among other forces would dictate which materials could be used successfully as a molecular resist.
17

Fundamental Studies in Selective Wet Etching and Corrosion Processes for High-Performance Semiconductor Devices

Mistkawi, Nabil George 01 January 2010 (has links)
As multistep, multilayer processing in semiconductor industry becomes more complex, the role of cleaning solutions and etching chemistries are becoming important in enhancing yield and in reducing defects. This thesis demonstrates successful formulations that exhibit copper and tungsten compatibility, and are capable of Inter Layer Dielectric (ILD) cleaning and selective Ti etching. The corrosion behavior of electrochemically deposited copper thin films in deareated and non-dearated cleaning solution containing hydrofluoric acid (HF) has been investigated. Potentiodynamic polarization experiments were carried out to determine active, active-passive, passive, and transpassive regions. Corrosion rates were calculated from tafel slopes. ICP-MS and potentiodynamic methods yielded comparable Cu dissolution rates. Interestingly, the presence of hydrogen peroxide in the cleaning solution led to more than an order of magnitude suppression of copper dissolution rate. We ascribe this phenomenon to the formation of interfacial CuO which dissolves at slower rate in dilute HF. A kinetic scheme involving cathodic reduction of oxygen and anodic oxidation of Cu0 and Cu+1 is proposed. It was determined that the reaction order kinetics is first order with respect to both HF and oxygen concentrations. The learnings from copper corrosion studies were leveraged to develop a wet etch/clean formulation for selective titanium etching. The introduction of titanium hard-mask (HM) for dual damascene patterning of copper interconnects created a unique application in selective wet etch chemistry. A formulation that addresses the selectivity requirements was not available and was developed during the course of this dissertation. This chemical formulation selectively strips Ti HM film and removes post plasma etch polymer/residue while suppressing the etch rate of tungsten, copper, silicon oxide, silicon carbide, silicon nitride, and carbon doped silicon oxide. Ti etching selectivity exceeding three orders of magnitude was realized. Surprisingly, it exploits the use of HF, a chemical well known for its SiO2 etching ability, along with a silicon precursor to protect SiO2. The ability to selectively etch the Ti HM without impacting key transistor/interconnect components has enabled advanced process technology nodes of today and beyond. This environmentally friendly formulation is now employed in production of advanced high-performance microprocessors and produced in a 3000 gallon reactor.
18

High Temperature Water as an Etch and Clean for SiO2 and Si3N4

Barclay, Joshua David 12 1900 (has links)
An environmentally friendly, and contamination free process for etching and cleaning semiconductors is critical to future of the IC industry. Under the right conditions, water has the ability to meet these requirements. Water becomes more reactive as a function of temperature in part because the number of hydronium and hydroxyl ions increase. As water approaches its boiling point, the concentration of these species increases over seven times their concentrations at room temperature. At 150 °C, when the liquid state is maintained, these concentrations increase 15 times over room temperature. Due to its enhanced reactivity, high temperature water (HTW) has been studied as an etch and clean of thermally grown SiO2, Si3N4, and low-k films. High temperature deuterium oxide (HT-D2O) behaves similarly to HTW; however, it dissociates an order of magnitude less than HTW resulting in an equivalent reduction in reactive species. This allowed for the effects of reactive specie concentration on etch rate to be studied, providing valuable insight into how HTW compares to other high temperature wet etching processes such as hot phosphoric acid (HPA). Characterization was conducted using Fourier transform infrared spectroscopy (FTIR) to determine chemical changes due to etching, spectroscopic ellipsometry to determine film thickness, profilometry to measure thickness change across the samples, scanning electron microscopy (SEM), contact angle to measure changes in wetting behavior, and UV-Vis spectroscopy to measure dissolved silica in post etch water. HTW has demonstrated the ability to effective etch both SiO2 and Si3N4, HT-D2O also showed similar etch rates of Si3N4 indicating that a threshold reactive specie concentration is needed to maximize etch rate at a given temperature and additional reactive species do not further increase the etch rate. Because HTW has no hazardous byproducts, high temperature water could become a more environmentally friendly etchant of SiO2 and Si3N4 thin films.

Page generated in 0.167 seconds