• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 303
  • 40
  • 31
  • 22
  • 14
  • 9
  • 8
  • 4
  • 4
  • 4
  • 3
  • 1
  • 1
  • 1
  • 1
  • Tagged with
  • 546
  • 546
  • 546
  • 128
  • 117
  • 117
  • 87
  • 76
  • 70
  • 69
  • 66
  • 65
  • 57
  • 48
  • 48
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
71

Desktop systems for manufacturing carbon nanotube films by chemical vapor deposition

Kuhn, David S. 06 1900 (has links)
CIVINS / Carbon nanotubes (CNTs) exhibit exceptional electrical, thermal, and mechanical properties that could potentially transform such diverse fields as composites, electronics, cooling, energy storage, and biological sensing. For the United States Navy, composites potentially provide a significant decrease in lifetime maintenance costs of ships by eliminating hull corrosion. A stronger composite could also improve naval ship survivability or increase combat payloads by reducing the hull weight of ships and submarines. Further, cooling requirements of ship borne electronics have grown exponentially and represent a significant weight penalty for advanced ship designs. Any improvement in thermal transport could significantly improve future naval ship designs. In order to realize these benefits, methods must be discovered to fully characterize CNT growth mechanisms, consistently produce CUTs in manufacturable quantities, and to integrate CUTs into macroscale structures which reflect the properties of individual CUTs. While growth of CNTs in laboratory scale chemical vapor deposition (CVD) tube furnaces has shown great promise, existing low cost tube furnace designs limit the researcher's ability to fully separate critical reaction parameter such as temperature and flow profiles and limit the rate of temperature change during the growth process. Conventional tube furnace designs also provide limited mechanical access to the growth Site and prevent optical monitoring of the growth site, removing the ability to observe and interact in situ during growth. This thesis presents the SabreTube, a low-cost desktop cvD apparatus that decouples temperature and flow variables, provides mechanical and optical access to the reaction site during growth, and provides modular fixturing to enable versatile experimentation with and characterization of CUT growth mechanisms. This thesis also presents the Nanosled, a device designed to translate a substrate through a CVD furnace. / Contract number: N62271-97-G-0026. / CIVINS
72

Growth, processing and characterization of group IV materials for thermoelectric applications

Noroozi, Mohammad January 2016 (has links)
Discover of new energy sources and solutions are one of the important global issues nowadays, which has a big impact on economy as well as environment. One of the methods to help to mitigate this issue is to recover wasted heat, which is produced in large quantities by the industry, through vehicle exhausts and in many other situations where we consume energy. One way to do this would be using thermoelectric (TE) materials, which enable direct interconversion between heat and electrical energy. This thesis investigates how the novel material combinations and nanotechnology could be used for fabricating more efficient TE materials and devices. The work presents synthesis, processing, and electrical characterization of group IV materials for TE applications. The starting point is epitaxial growth of alloys of group IV elements, silicon (Si), germanium (Ge) and tin (Sn), with a focus on SiGe and GeSn(Si) alloys. The material development is performed using chemical vapor deposition (CVD) technique. Strained and strain-relaxed Ge1-x Snx (0.01≤x≤0.15) has been successfully grown on Ge buffer and Si substrate, respectively. It is demonstrated that a precise control of temperature, growth rate, Sn flow and buffer layer quality is necessary to overcome Sn segregation and achieve a high quality GeSn layer. The incorporation of Si and n- and p-type dopant atoms is also investigated and it was found that the strain can be compensated in the presence of Si and dopant atoms.  Si1-xGexlayers are grown on Si-on-insulator wafers and condensed by oxidation at 1050 ᵒC to manufacture SiGe-on-insulator (SGOI) wafers. Nanowires (NWs) are processed, either by sidewall transfer lithography (STL), or by using conventional lithography, and subsequently manufactured into nanoscale dimensions by focused ion beam (FIB) technique. The NWs are formed in an array, where one side is heated by a resistive heater made of Ti/Pt. The power factor of NWs is measured and the results are compared for NWs manufactured by different methods. It is found that the electrical properties of NWs fabricated with FIB technique can be influenced due to Ga doping during ion milling. Finally, the carrier transport in SiGe NWs formed on SGOI samples is tailored by applying a back-gate voltage on the Si substrate. In this way, the power factor is improved by a factor of 4. This improvement is related to the presence of defects and/or small fluctuation of nanowire shape and Ge content along the NWs, generated during processing and condensation of SiGe layers. The SiGe results open a new window for operation of SiGe NWs-based TE devices in the new temperature range of 250 to 450 K. / <p>QC 20160907</p>
73

Controlled synthesis of ZnO nanowires towards the fabrication of solar cells

Yu, Dongshan 30 June 2009 (has links)
In recent years, quasi-one-dimensional materials have attracted a lot of research attention due to their remarkable properties, and their potential as building blocks for nanoscale electronic and optoelectronic devices. A modified chemical vapor deposition (CVD) method has been used to synthesize ZnO nanowires. Electron microscopy and other characterization techniques show that nanowires having distinct morphologies when grown under different conditions. The effects of reaction parameters including reaction time, temperature, carrier gas flow rate, substrates and catalyst material upon the size, shape, and density of ZnO nanowire arrays have been investigated. Excitonic solar cells —including Gratzel-type cells, organic and hybrid organic/inorganic solar cells—are promising devices for inexpensive, large-scale solar energy conversion. Hybrid organic/inorganic solar cells are made from composites of conjugated polymers with nanostructure metal oxides, in which the polymer component serves the function of both light absorber and hole conductor, and the ZnO nanowire arrays act as the electron conductors. Organic solar cells have been fabricated from environmentally friendly water-soluble polymers and ZnO nanowire arrays.
74

Nanonet-Based Materials for Advanced Energy Storage

Zhou, Sa January 2012 (has links)
Thesis advisor: Dunwei Wang / When their electrodes are made of nanomaterials or materials with nanoscale features, devices for energy conversion and energy storage often exhibit new and improved properties. One of the main challenges in material science, however, is to synthesize these nanomaterials with designed functionality in a predictable way. This thesis presents our successes in synthesizing TiSi₂ nanostructures with various complexities using a chemical vapor deposition (CVD) method. Attention has been given to understanding the chemistry guiding the growth. The governing factor was found to be the surface energy differences between various crystal planes of orthorhombic TiSi₂ (C54 and C49). This understanding has allowed us to control the growth morphologies and to obtain one-dimensional (1D) nanowires, two-dimensional (2D) nanonets and three-dimensional (3D) complexes with rational designs by tuning the chemical reactions between precursors. Among all these morphologies, the 2D nanonet, which is micrometers wide and long but only approximately 15 nm thick, has attracted great interest because it is connected by simple nanostructures with single-crystalline junctions. It offers better mechanical strength and superior charge transport while preserving unique properties associated with the small-dimension nanostructure, which opens up the opportunity to use it for various energy related applications. In this thesis we focus on its applications in lithium ion batteries. With a unique heteronanostructure consisting of 2D TiSi₂ nanonets and active material coating, we demonstrate the performances of both anode and cathode of lithium ion batteries can be highly improved. For anode, Si nanoparticles are deposited as the coating and at a charge/discharge rate of 8400 mA/g, we measure specific capacities >1000 mAh/g with only an average of 0.1% decay per cycle over 100 cycles. For cathode, V₂O₅ is employed as an example. The TiSi₂/V₂O₅ nanostructures exhibit a specific capacityof 350 mAh/g, a power rate up to 14.5 kW/kg, and 78.7% capacity retention after 9800 cycles. In addition, TiSi₂ nanonet itself is found to be a good anode material due to the special layer-structure of C49 crystals. / Thesis (PhD) — Boston College, 2012. / Submitted to: Boston College. Graduate School of Arts and Sciences. / Discipline: Chemistry.
75

Growth of one dimensional Zinc selenide nanostructures by metalorganic chemical vapor deposition. / 利用有機金屬化學氣相沉積方法生長一維硒化鋅鈉米結構 / Growth of one dimensional Zinc selenide nanostructures by metalorganic chemical vapor deposition. / Li yong you ji jin shu hua xue qi xiang chen ji fang fa sheng chang yi wei xi hua xin na mi jie gou

January 2004 (has links)
Leung Yee Pan = 利用有機金屬化學氣相沉積方法生長一維硒化鋅鈉米結構 / 梁懿斌. / Thesis (M.Phil.)--Chinese University of Hong Kong, 2004. / Includes bibliographical references (leaves 80-82). / Text in English; abstracts in English and Chinese. / Leung Yee Pan = li yong you ji jin shu hua xue qi xiang chen ji fang fa sheng chang yi wei xi hua xin na mi jie gou / Liang Yibin. / Acknowledgements --- p.ii / Abstract --- p.iii / Chapter Chapter 1 - --- Introduction --- p.1 / Chapter 1.1 --- Background --- p.1 / Chapter 1.2 --- Motivation --- p.3 / Chapter 1.2.1 --- ZnSe --- p.3 / Chapter 1.2.2 --- MOCVD --- p.3 / Chapter 1.3 --- Our Work --- p.4 / Chapter Chapter 2 - --- Experiment --- p.5 / Chapter 2.1 --- MOCVD System --- p.5 / Chapter 2.2 --- Metalorganic Sources --- p.5 / Chapter 2.3 --- Substrates --- p.7 / Chapter 2.4 --- Substrate Preparations --- p.7 / Chapter 2.5 --- Preheating (Applied Only when Using GaAs Substrates) --- p.7 / Chapter 2.6 --- Growth of Epi-layer (Applied Only when Using GaAs Substrates) --- p.8 / Chapter 2.7 --- Growth of ZnSe Nanowires on Si(100) and ZnSe/GaAs(100) --- p.8 / Chapter 2.8 --- The Samples --- p.9 / Chapter Chapter 3 - --- Characterization --- p.10 / Chapter 3.1 --- Surface Morphologies --- p.10 / Chapter 3.1.1 --- Scanning Electron Microscopy --- p.10 / Chapter 3.1.2 --- Atomic Force Microscopy --- p.12 / Chapter 3.2 --- Structural Properties - X-Ray Diffraction --- p.13 / Chapter 3.3 --- Optical Properties - Photoluminescence --- p.15 / Chapter 3.4 --- Other Techniques --- p.16 / Chapter Chapter 4 - --- Results --- p.17 / Chapter 4.1 --- ZnSe Nanowires Grown on Si(100) --- p.17 / Chapter 4.1.1 --- Effect of Growth Temperature --- p.17 / Chapter 4.2 --- Growth of ZnSe Nanowires on GaAs( 100) - The First Trial --- p.20 / Chapter 4.3 --- Optimizing the ZnSe Epi-layer --- p.21 / Chapter 4.3.1 --- Surface of GaAs(100) --- p.21 / Chapter 4.3.2 --- ZnSe Epi-layer Grown at Different Reactor Pressures --- p.22 / Chapter 4.4 --- Importance of Au --- p.26 / Chapter 4.5 --- Growth of ZnSe Nanowires on GaAs(lOO) - A Systematic Study --- p.28 / Chapter 4.5.1 --- Growth Rates --- p.28 / Chapter 4.5.2 --- Overall Morphologies --- p.32 / Chapter 4.5.3 --- Classifying the Morphologies --- p.37 / Chapter 4.5.4 --- Abundances of Different Morphologies of Different Samples --- p.40 / Chapter 4.5.5 --- Growth Direction --- p.45 / Chapter 4.5.6 --- Structure of the Nanowires --- p.50 / Chapter 4.5.7 --- Optical Properties of the Nanowires --- p.54 / Chapter Chapter 5 - --- Discussions --- p.57 / Chapter 5.1 --- Overview of the MOCVD Process --- p.57 / Chapter 5.1.1 --- Effects of Growth Temperature on Growth Rate of MOCVD --- p.58 / Chapter 5.1.2 --- Effects of Reactor Pressure on Growth Rate of MOCVD --- p.59 / Chapter 5.2 --- Effect of Reactor Pressure on the Growth Rate of the Nanowires --- p.60 / Chapter 5.3 --- Growth Mechanisms of the Nanowires --- p.64 / Chapter 5.3.1 --- VLS Mechanism --- p.64 / Chapter 5.3.2 --- Spiral Growth Mechanism --- p.66 / Chapter 5.3.3 --- Reentrant Corner Mechanism --- p.67 / Chapter 5.3.4 --- Roles of Au Particles and ZnSe Epi-layer --- p.68 / Chapter 5.3.5 --- Growth Mechanisms of Different Types of Nanowires --- p.69 / Chapter 5.3.6 --- Effect of Growth Temperature --- p.71 / Chapter 5.4 --- Quality of the Nanowires --- p.72 / Chapter 5.5 --- "Remarks of the AFM Experiments and the ""Transferred"" Samples" --- p.72 / Chapter Chapter 6 - --- Conclusions --- p.75 / Appendices --- p.77 / Chapter I - --- "Estimation of the mass, other than the nanowires, contributed to the sample" --- p.77 / Chapter II - --- Calculation of the growth angle with respect to the surface normal --- p.78 / References --- p.80
76

Characterization of FePt-based nanocomposite thin films prepared by pulsed filtered vacuum arc deposition.

January 2005 (has links)
by Lai Yiu Wai. / Thesis (M.Phil.)--Chinese University of Hong Kong, 2005. / Includes bibliographical references. / Abstract in English and Chinese. / Abstract / Abstract (Chinese) / Table of Contents / List of Figures / List of Tables / Chapter Chapter 1 --- Introduction / Chapter 1.1 --- Overview --- p.1-1 / Chapter 1.2 --- Conventional recording --- p.1-2 / Chapter 1.3 --- Superparamagnetism --- p.1-2 / Chapter 1.4 --- Possible solutions --- p.1-4 / Chapter 1.4.1 --- Perpendicular recording --- p.1-5 / Chapter 1.4.2 --- Patterned media --- p.1-6 / Chapter 1.4.3 --- High Ku material for recording media --- p.1-7 / Chapter 1.5 --- FePt-based material research 1 - --- p.1-8 / Chapter 1.6 --- Project goal --- p.1-11 / Reference --- p.1-12 / Chapter Chapter 2 --- Sample preparation and characterization techniques / Chapter 2.1 --- Pulsed filtered vacuum arc deposition (PFVAD) --- p.2-1 / Chapter 2.1.1 --- Sample preparation --- p.2-4 / Chapter 2.2 --- Rutherford backscattering spectroscopy (RBS) --- p.2-4 / Chapter 2.3 --- X-ray diffraction (XRD) --- p.2-6 / Chapter 2.4 --- Vibrating sample magnetometery (VSM) --- p.2-7 / Chapter 2.5 --- Transmission electron microscopy (TEM) --- p.2-9 / Reference --- p.2-10 / Chapter Chapter 3 --- Characterization of FePt-C nanocomposite thin film / Chapter 3.1 --- Experiment design --- p.3-1 / Chapter 3.2 --- Experiment detail --- p.3-1 / Chapter 3.3 --- Results and discussion --- p.3-3 / Chapter 3.3.1 --- NRBS measurements --- p.3-3 / Chapter 3.3.2 --- XRD measurements --- p.3-8 / Chapter 3.3.3 --- VSM measurements --- p.3-14 / Chapter 3.3.4 --- Some preliminary results on effects of post- deposition implantation --- p.3-23 / Chapter 3.3.5 --- TEM images --- p.3-26 / Chapter 3.3.6 --- Overall discussion --- p.3-29 / Chapter 3.3.6.1 --- Total film thickness effect --- p.3-29 / Chapter 3.3.6.2 --- Degree of ordering from XRD (001)/(002) peak intensity ratio --- p.3-33 / Chapter 3.3.6.3 --- C spacer thickness effect --- p.3-34 / Chapter 3.3.6.4 --- Implantation effect --- p.3-35 / Chapter 3.4 --- Summary --- p.3-35 / Reference --- p.3-36 / Chapter Chapter 4 --- Characterization of FePt-Cu nanocomposite thin film / Chapter 4.1 --- Experiment design --- p.4-1 / Chapter 4.2 --- Experiment detail --- p.4-1 / Chapter 4.3 --- Results and discussion --- p.4-3 / Chapter 4.3.1 --- RBS measurements --- p.4-3 / Chapter 4.3.2 --- XRD measurements --- p.4-7 / Chapter 4.3.3 --- VSM measurements --- p.4-9 / Chapter 4.3.4 --- Discussion --- p.4-12 / Chapter 4.3.4.1 --- Total film thickness effect --- p.4-12 / Chapter 4.3.4.2 --- Cu spacer thickness effect --- p.4-13 / Chapter 4.4 --- FePt films without additive --- p.4-16 / Chapter 4.5 --- Summary --- p.4-17 / Reference --- p.4-18 / Chapter Chapter 5 --- Conclusion and future works / Chapter 5.1 --- Conclusion --- p.5-1 / Chapter 5.2 --- Future works --- p.5-3 / Reference --- p.5-4 / Appendix 1 / Appendix 2
77

Graphene synthesis and characterization on copper

Mohsin, Ali 01 July 2012 (has links)
Graphene, two dimensional sheet of carbon atoms has recently gained attention as some of its properties are promising for electronics applications e.g. higher mobility that translates to higher operating frequency for devices geared towards radio frequency applications. Excellent optical transmittance combined with its semi metallic behavior makes it an important material for transparent contacts in solar cells. To bring graphene to the production level, synthesis methods are needed for its growth on wafer scale. It has been shown that chemical vapor deposition (CVD) is one of the techniques that can potentially synthesize wafer scale graphene. Recently copper has gained popularity as an important substrate material for graphene growth due to its lower carbon solubility, which allows better control over number of graphene layers. Here we report optimization of graphene growth on copper foils with our home made atmospheric pressure chemical vapor deposition (APCVD) setup. Graphene growth on copper under APCVD was non self-limiting similar to other reports. It was found that apart from growth parameters surface texture plays a very important role in graphene growth. In fact, few layer and bilayer graphene were obtained on the regions where copper surface was not uniform, confirmed by Raman spectroscopy. To improve copper surface texture thin layer of copper film was evaporated by electron beam evaporation before the graphene growth process. After this modification, monolayer graphene was obtained on areas as large as 300 um × 300 um confirmed by Raman area maps. Graphene transfer procedure was also optimized so that graphene on metal surface could be transferred to insulating substrate
78

Carbon Nanotube Growth Using Ni Catalyst in Different Layouts

Nguyen, H. Q., Krishnan, R., Choi, K. W., Thompson, Carl V., Lim, F. Y. 01 1900 (has links)
Vertically aligned carbon nanotubes have been grown using Ni as catalyst by plasma enhanced chemical vapor deposition system (PECVD) in various pre-patterned substrates. Ni was thermally evaporated on silicon substrates with anodized alumina mask prepared in different methods including 2 step anodization of porous alumina template and interference lithography assisted array of pores. The templates helped to define Ni nanodots inside the pores which in turn catalyzed the growth of carbon nanotubes inside the PECVD system at temperature of 700-750C using mixture of ammonia and acetylene gases. The resulting well-aligned multi-walled carbon nanotubes were further investigated using SEM, TEM and Raman spectroscopy. The size, shape and structure of the grown carbon nanotubes were also discussed. / Singapore-MIT Alliance (SMA)
79

Nanostructures by gas-phase reactions growth and applications /

Carney, Carmen M., January 2006 (has links)
Thesis (Ph. D.)--Ohio State University, 2006. / Title from first page of PDF file. Includes bibliographical references (p. 189-197).
80

Study of the nucleation mechanism of carbon nanotubes by field emission techniques/Etude du mécanisme de nucléation des nanotubes de carbone par techniques d'émission de champ

Moors, Matthieu 28 June 2010 (has links)
The present work is focused on the nucleation and growth mechanism of carbon nanotubes (CNT) that we have studied through different field emission techniques (FEM, FIM and atom-probe (PFDMS)). Reaction conditions associated with the CVD synthesis method were modeled inside the microscope aiming at studying nucleation phenomena at high resolution. The interaction between different metals (Fe, Co, Ni, conditioned as sharp tips) and gases (acetylene, ethylene and ethanol) was analyzed operando at high temperatures (500–900K), with the aim of reproducing growth conditions during the imaging process. Ni was, in the end, the only metal studied, due to the poor quality of images acquired from Co and Fe. Aimed at reproducing the conditioning step of the catalyst often observed in CVD protocols, a first study showed that the crystal adopts a polyhedral morphology at the working temperature (873K) in an hydrogen atmosphere or under Ultra-High-Vacuum conditions, by the extension of dense crystal planes like {111} or {100}. The presence of hydrogen in the chamber does not seem to present any influence on the final crystal morphology at temperatures above 600K. When exposed to a carbon-containing gas, nickel crystals present two distinct behaviors following the temperature region that is explored. At temperatures below ~623K, exposing Ni to ethylene or acetylene leads to the formation of a stable and poorly structured nickel carbide layer. The superficiality of this carbide is proven by the ease of its physical (by increasing the electrical field) or chemical (exposure to hydrogen or oxygen) evacuation. These three treatments initiate a clean-off phenomenon that evacuates the carbide layer. Reproducing these experiments in the atom-probe confirmed the carbidic nature of the surface as NiCy compounds were collected. At temperatures above 623K, the carbide layer (formed by exposing Ni to the same gases) becomes unstable. Its formation is related to a transition period that precedes the nucleation of graphenes on the surface. The Ni crystal undergoes a massive morphological transformation when acetylene is introduced in the chamber at 873K. This phenomenon is induced by the presence of carbon on the surface which adsorbs so strongly on step sites that it provokes their creation. Carbon also induces a considerable enhancement of Ni atoms mobility that allows for this transition to occur. Once the new morphology is attained, nucleation of graphenes is observed to start on the extended and carbon-enriched step-containing crystal planes. By reproducing these experiments in the atom-probe, a high surface concentration of carbon dimers and trimers was observed. A kinetic study of their formation was thus achieved and showed that they were formed on the surface by the recombination of Cad. Their potential role as building-blocks of the CNT growth process (which had previously been proposed following theoretical considerations) is thus suggested on the basis of experimental results for the first time. Two critical surface concentrations are highlighted in the present work. The first one is needed for the formation of carbon dimers and trimers and the second one has to be attained, during the morphological transformation, before the onset of graphene nucleation, probably providing a sufficient growth rate of the graphitic nuclei and allowing them to attain their critical size before their decomposition. Finally, the observation of rotational circular patterns, most probably related to carbon nanotubes, suggests that CNT growth (and not only graphene nucleation) occurred episodically in our conditions, confirming the validity of our model.

Page generated in 0.2045 seconds