• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 2
  • Tagged with
  • 2
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

Estudo do Efeito Maser em Sistemas Magnéticos Nanométricos

Campos, Fillipi Klos Rodrigues de 24 October 2013 (has links)
Resumo: Junções de tunelamento magnéticas (MTJs) são usadas em diversas aplicações tecnológicas atuais, tais como cabeças de leitura magnetorresistivas, sensores de campo magnético e Memórias de Acesso Randômicas Magnéticas (MRAMs), devido ao seu efeito de magnetorresistência gigante, cujas raízes estão ligadas a um mecanismo de espalhamento com uma forte dependência de spin. Evidências a favor da existência de correntes de spin colocam em questão a possibilidade de gerar radiação coerente de micro-ondas em um meio com inversão de população de spin, mantido por uma corrente spin-polarizada. Para responder a essa questão, considerou-se uma junção de tunelamento magnético dentro de uma cavidade ressonante como um sistema promissor. A MTJ é composta por dois eletrodos ferromagnéticos separados por um filme fino de óxido que representa uma barreira de potencial devido ao fato de ter os níveis de Fermi das camadas ferromagnéticas situados na banda proibida (bandgap) do filme de óxido. O modelo completo baseia-se em um Hamiltoniano de transferência para determinar a corrente elétrica de tunelamento, bem como a magnitude da corrente de spin. Equações de taxa fenomenológicas são utilizadas para determinar a taxa de emissão de fótons e a potência de saída, onde a injeção de uma corrente polarizada em spin responsável pelo efeito de inversão de população é determinada pela física da junção de tunelamento magnética. O valor de limiar da corrente de spin para que ocorra ação MASER é estimada com base em valores típicos das grandezas relevantes, como as densidades de estados dos eletrodos ferromagnéticos. Mostrou-se que existe uma grande semelhança entre o comportamento de um LASER semicondutor e a MASER baseado em spin.
2

Estudo sobre o consumo de energia em redes-em-chip baseadas em dispositivos nanoeletrônicos

Rangel, Edylara Ribeiro 14 August 2017 (has links)
Dissertação (mestrado)—Universidade de Brasília, Faculdade de Tecnologia, Departamento de Engenharia Elétrica, 2017. / Submitted by Raquel Almeida (raquel.df13@gmail.com) on 2018-02-21T16:44:37Z No. of bitstreams: 1 2017_EdylaraRibeiroRangel.pdf: 3128190 bytes, checksum: e2eee5ac868a08d0fc6370ae8cdd3282 (MD5) / Approved for entry into archive by Raquel Viana (raquelviana@bce.unb.br) on 2018-02-27T16:48:02Z (GMT) No. of bitstreams: 1 2017_EdylaraRibeiroRangel.pdf: 3128190 bytes, checksum: e2eee5ac868a08d0fc6370ae8cdd3282 (MD5) / Made available in DSpace on 2018-02-27T16:48:02Z (GMT). No. of bitstreams: 1 2017_EdylaraRibeiroRangel.pdf: 3128190 bytes, checksum: e2eee5ac868a08d0fc6370ae8cdd3282 (MD5) Previous issue date: 2018-02-27 / A evolução da indústria eletrônica que permitiu a implementação de arquiteturas de múltiplos núcleos foi motivada principalmente pelo consumo de energia, pois elas oferecem melhor desempenho e menor dissipação de potência do que os sistemas de processamento único. Com o aumento do número de núcleos em um único chip, a arquitetura de comunicação que interliga esses núcleos começou a ganhar importância. Assim, para resolver os problemas de interconectividade e comunicação dos sistemas em chip, a arquitetura de comunicação do tipo redes-em-chip (NoC - Network-on-Chip) tem sido proposta como uma solução altamente estruturada pela comunidade científica. Estimativas do consumo de energia das arquiteturas de comunicação devem ser realizadas no início do projeto, pois a comunicação do chip representa uma porção significante do total de energia e área consumida pelo chip. Neste contexto, este trabalho objetiva estudar sobre o consumo de energia em NoCs baseadas em dispositivos nanoeletrônicos, por meio de um modelo analítico previamente apresentado. Para obter o consumo total de energia da comunicação do chip, esse modelo utiliza como base alguns parâmetros, tais como, a energia das interconexões e dos roteadores, e a distribuição de probabilidade de comunicação. O objetivo principal deste trabalho é verificar quantitativamente qual a contribuição da nanoeletrônica na redução do consumo de energia, na arquitetura de comunicação do tipo NoC, com ênfase no estudo das interconexões. Desta forma, são feitas simulações para verificar o comportamento da latência e da energia das interconexões que conectam os roteadores da rede, em função dos nós de tecnologia, bem como, é realizada a comparação do consumo de energia entre redes com roteadores nanoeletrônicos e redes com roteadores CMOS. Por fim, é realizada uma análise comparativa entre o consumo de energia de redes com interconexões de cobre e nanotubo de carbono, utilizando roteadores nanoeletrônicos. Os resultados obtidos neste trabalho mostram que a nanoeletrônica é uma tecnologia que aparenta ser uma solução promissora na redução do consumo de energia dos futuros chips e dispositivos. / The evolution of the electronic industry that allowed the implementation of multi-core architectures was motivated mainly by the energy consumption, since they offer better performance and less power dissipation than the single processing systems. With the increase in the number of cores on a single chip, the communication architecture that interconnects these cores began to gain importance. Thus, to solve the problems of interconnectivity and communication of the systems in chip, Networks-on-Chip (NoC) communication architecture has been proposed as a solution highly structured by the scientific community. Estimates of the energy consumption of communication architectures should be carried out at the beginning of the project because the communication of the chip represents a significant portion of the total energy and area consumed by the chip. In this context, this work aims to study energy consumption in NoCs based on nanoelectronic devices, through an analytical model previously presented. To obtain the total energy consumption of the chip communication, this model uses as base some parameters, such as the energy of the interconnections and the routers, and the Communication Probability Distribution. The main objective of this work is to verify quantitatively the contribution of nanoelectronics in the reduction of energy consumption in NoC communication architecture, with emphasis on the study of interconnections. In this way, simulations are performed to verify the latency and energy behavior of the interconnections that connect the routers of the network, as a function of the technology nodes, as well as, the comparison of the energy consumption between networks with nanoelectronic routers and networks with CMOS routers is made. Finally, a comparative analysis was performed between the energy consumption of networks with copper and carbon nanotube interconnections using nanoelectronic routers. The results obtained in this work show that nanoelectronics is a technology that appears to be a promising solution in reducing the energy consumption of future chips and devices.

Page generated in 0.0538 seconds