Return to search

Gefügeeinfluß auf das Elektromigrationsverhalten von Kupferleitbahnen für höchstintegrierte Schaltungen

The increasing clock speed and the further reduction of the feature size in integrated circuits lead to increasing demands on the interconnecting material. Thus an increasing need for a metallization with low electrical resistance and high electromigration endurance exist. Copper can be count as a material with these properties. Since 1998 Copper interconnections are commercially manufactured for integrated circuits. Electromigration is the most lifetime limiting factor in modern integrated circuits. The main the electromigration behavior influencing parameter and especially the influence of the microstructure is unknown. In this work the influence of the grain boundaries and their properties on the electromigration is examined at sputtered (PVD) and electroplated (ECD) Copper interconnects. For this investigation microstructure mappings produced by electron backscatter diffraction (EBSD) are correlated to in-situ electromigration experiments inside the SEM to research the electromigration behavior and the diffusion paths. Microstructure analysis shows big a difference between the two investigated types of interconnects. In both a strong <111> fibre texture is observed, but the PVD Copper shows a stronger texture than the electroplated one. The texture index of the PVD interconnects is 15,9 whereas the ECD lines show an index of 3,9. The frequency densities of the grain boundary misorientation, which is important for the electromigration behavior, are very different for both films. The ECD lines show a fraction of 55% Sigma 3 twin boundaries and 40% high angle grain boundaries. In contrast the PVD interconnects show a fraction of 5% Sigma 3 twin boundaries, 75% high angle grain boundaries and 20% small angle grain boundaries. This shows that a reduction of the high angle grain boundaries is not related to a strong <111> fibre texture. With in-situ experiments correlated to microstructure analysis it is shown, that voiding at high angle grain boundaries occur in the down wind of blocking grains or sites where only Sigma 3 twin boundaries are present. Hillocks were formed at high angle grain boundaries in the upwind of blocking grains or sites where only small angle grain boundaries or Sigma 3 twin boundaries are found. By a statistical evaluation of the in-situ experiments it is shown that more than 50% of the observed electromigration damages could be ascribed clearly to a grain boundary related local mass flux divergence. At strings of high angle grain boundaries voiding at the cathode side and hillock growth at the anode side is shown. The distance between these voids and hillocks is always higher than the Blech length. As the current density increases the distance between these voids and hillocks decreases according to Blech´s law, whereby it´s valid for local divergence is shown. FIB cuts show, that hillocks on PVD lines grow non-epitaxial in contrast to hillocks on ECD lines, which show epitaxial growth. These differences of hillock´s growth may suggest different underlying growth mechanisms. Reliability testing performed on PVD Copper interconnects lead to an activation energy for electromigration of 0,77eV ± 0,07eV. The confidence interval includes reported values for surface and also grain boundary diffusion. This indicates that the electromigration in these experiments is mainly influenced by surface and grain boundary diffusion. In this work the nucleation of voids and hillocks related to the previous analysed microstructure is observed inside the SEM and correlated to high angle grain boundaries and their misorientation angle. The result of this work show that electromigration damage in Copper interconnects is mostly caused by inhomogeneities of the microstructure. In this process the high angle grain boundaries are the main diffusion path. / Mit steigender Taktrate u. weiter fortschreitender Integrationsdichte in mikroelektr. Schaltungen nehmen d. Anforderungen an d. Metallisierungsmaterial weiter zu. Es besteht d. zunehmende Forderung nach Metallisierungen mit geringem elektrischen Widerstand u. hoher Elektromigra- tionsfestigkeit. Kupfer kann als Material angesehen werden, welches d. Anforderungen erfüllt. Seit 1998 wird Kupfer als Metallisierungsmaterial in höchstintegr. Schaltun- gen eingesetzt. Die Elektromigration (EM) ist der d. Zuver- lässigkeit am meisten begrenzende Faktor in mod. mikro- elektron. Schaltungen. Die Haupteinflußgrößen auf d. Elektromigrationsverhalten u. insbes. d. Einfluß d. Gefüges ist unklar. In d. Arbeit wird an nichtpassivier- ten physikalisch (PVD) u. galvanisch (ECD) abgeschied. Kupferleitbahnen d. Einfluß d. Korngrenzen u. deren Eigenschaften auf d. Elektromigrationsverhalten untersucht. Dazu werden Gefügeanalysen mittels Kikuchi-Rückstreutechnik u. in-situ Elektromigrationsexperimente im Rasterelektron- enmikroskop gekoppelt, um d. Elektromigrationsverhalten u. d. Migrationspfade zu erforschen. Gefügeuntersuchungen zeigen, daß d. untersuchten Leitbahnen sich in ihren Gefügeeigenschaften deutl. unterscheiden. Beide Schichten zeigen e. <111> Fasertextur, wobei d. PVD-Leitbahnen e. deutl. schärfere Textur mit e. Texturfaktor von 15,9 gegenüber den ECD-Leitbahnen d. e. Texturfaktor von 3,9 aufweisen. Die Häufigkeitsverteilungen d. Korngrenz- Misorientierung, sind für d. beiden Schichten unterschiedl. Die ECD-Leitbahnen zeigen e. Anteil von 55% Sigma 3-Korngrenzen und 40% Großwinkelkorngrenzen. Die PVD- Leitbahnen hingegen weisen nur e. Anteil von 5% Sigma 3-Korngrenzen, 75% Großwinkelkorngrenzen u. 20% Kleinwin- kelkorngrenzen auf. Dadurch wird gezeigt, daß e. scharfe <111> Textur keine Reduzierung d. Großwinkelkorngrenzen zur Folge haben muß. Anhand von in-situ Experimenten gekoppelt mit Gefügeanalysen wird gezeigt, daß Porenbildung an Groß- winkelkorngrenzen hinter blockierenden Körnern oder hinter Bereichen auftritt, in d. nur Sigma 3-Korngrenzen o. Kleinwinkelkorngrenzen vorliegen. Hügelbildung tritt an Großwinkelkorngrenzen vor blockierenden Körnern o. Berei- chen auf, in denen nur Kleinwinkelkorngrenzen o. Sigma 3-Korngrenzen vorliegen. Mit e. statist. Auswertung d. in-situ Experimente wird gezeigt, daß mehr als d. Hälfte aller Elektromigrationsschädigungen bei beiden Herstellungsmethoden eindeutig auf e. korngrenzbedingte lokale Divergenz im Massenfluß zurückzuführen sind. An Ketten von Großwinkelkorngrenzen wird verdeutl., daß kathodenseitig Porenbildung und anodenseitig Hügelbildung auftritt. Der Abstand zw. Pore u. Hügel liegt hier immer oberh. d. Blechlänge. Mit zunehmender Stromdichte nimmt d. Pore-Hügel-Abstand entspr. d. Blechtheorie ab, wodurch gezeigt wird, daß d. Blechtheorie auch bei lokalen Flußdivergenzen gilt. FIB-Querschnittsanalysen zeigen, daß Hügel auf PVD-Leitbahnen nicht epitaktisch mit d. darunterliegenden Schicht verwachsen sind im Gegensatz zu Hügeln auf ECD-Leitbahnen, die teilw. e. epitaktische Verwachsung mit d. Leitbahn zeigen. Lebensdauermessungen an PVD-Leitbahnen ergeben e. Aktivierungsenergie von 0,77eV ± 0,07eV. Es ist davon auszugehen, daß das Elektromigrationsverhalten d. hier untersuchten unpassi- vierten Leitbahnen haupts. von Korngrenz- u. von Oberfläch- endiffusion beeinflußt wird. In d. Arbeit wurde zum ersten Mal an Kupferleitbahnen d. Entstehung von eit- bahnschädigungen im Zusammenhang mit dem vorher aufgenomme- nen Gefüge im Rasterelektronenmikroskop direkt beobachtet u. mit d. Korngrenzen u. d. Korngrenzwinkeln in Zusammenhang gebracht. Die Ergebnisse d. Arbeit zeigen, daß Schädigungen durch Elektromigration in Kupferleitbahnen vorw. durch Gefügeinhomogenitäten entstehen. Bei d. Prozeß sind Großwinkelkorngrenzen d. bevorzugte Diffusionspfad.

Identiferoai:union.ndltd.org:DRESDEN/oai:qucosa.de:swb:14-1033475450500-73035
Date23 August 2002
CreatorsKötter, Thomas
ContributorsTechnische Universität Dresden, Maschinenwesen, Prof. Dr. rer. nat. habil. Klaus Wetzig, Prof. Dr. rer. nat. habil. Klaus Wetzig, Prof. Dr. rer. nat. Johann W. Bartha, Prof. Dr. rer. nat. Robert Schwarzer
PublisherSaechsische Landesbibliothek- Staats- und Universitaetsbibliothek Dresden
Source SetsHochschulschriftenserver (HSSS) der SLUB Dresden
Languagedeu
Detected LanguageEnglish
Typedoc-type:doctoralThesis
Formatapplication/pdf

Page generated in 0.0025 seconds