• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 19
  • 3
  • 1
  • 1
  • 1
  • Tagged with
  • 26
  • 26
  • 26
  • 7
  • 6
  • 5
  • 5
  • 3
  • 3
  • 3
  • 3
  • 3
  • 2
  • 2
  • 2
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
11

Fundamental Characterization of Chemical Mechanical Planarization Relating to Slurry Dispensing and Conditioning Method

Han, Ruochen, Han, Ruochen January 2017 (has links)
The first part of our study introduces a new method for rapidly generating an "improved" Stribeck curve (i.e. Stribeck+ curve) that, compared to traditional Stribeck curves, shows a more complete tribological picture of the chemical mechanical planarization (CMP) process. The method significantly reduces the consumables and time required to obtain the curve compared to traditional means. Results of the Stribeck+ curve are consistent with individual tests using several different consumables combinations. All copper CMP Stribeck+ examples clearly indicate the lubrication mechanism and transitions thereof between different polishing conditions. Variability in COF as well as a much wider range in U/P are also explored. In the second part of our study, the Stribeck+ curve is successfully applied to silicon dioxide CMP processes to characterize the tribology of such processes under different process conditions and consumables. Results show our Stribeck+ curve methodology to be capable of rapidly determining and differentiating the tribological mechanism among all cases studied. The Stribeck+ curve helps indicate process stability as shown by the spread of the COF vertical clusters. The Stribeck+ curve also confirms a previously known effect that the greater the ratio of pad’s up-features to the total pad area, the greater the probability of wafer hydroplaning. As the third part of our study, we investigate the effect of different pad surface micro-textures on the tribological, thermal and kinetic attributes during copper CMP. Different micro-textures are generated by two different chemical vapor deposited (CVD) diamond-coated conditioner discs (i.e. Disc A and Disc B). Results show that while pad temperature and removal rate increase with polishing pressure and sliding velocity on both discs, Disc B generates consistently lower removal rates and COF than Disc A. To fundamentally elucidate the cause(s) of such differences, pad surface contact area and topography are analyzed using laser confocal microscopy. The comparison of the pad surface micro-texture analysis indicates that Disc A causes a pad surface with a smaller abruptness (λ) and much more solid contact area which results in a higher removal rate. In contrast, Disc B generates less contact areas and COF. A two-step modified Langmuir–Hinshelwood model is employed to simulate copper removal rates as well as chemical and mechanical rate constants. The simulated chemical to mechanical constant ratios indicate that Disc A produces a more mechanically limited process under all conditions tested. In the fourth part of our study, the position of a slurry injection system (SIS) is optimized to achieve a more cost-effective and environmentally benign CMP process using a widely-adopted ceria-based "reverse slurry". Here, SIS is configured with different angles in order to investigate slurry dilution characteristics caused by residual pad rinsing with ultrapure water (UPW) that is known to affect silicon dioxide removal. UPW dilution effect on removal rate, coefficient of friction and pad surface temperature is explained by maintaining a constant dilution ratio for each of the SIS configuration tests. Results indicate that SIS negative rotation angles increase the actual slurry dilution ratio on top of the polishing pad. This generates more Ce3+ which boosts removal rates. Application of negatively rotated SIS allows significantly lower slurry flow rates and/or shorter polishing times leading to more environmental friendly semiconductor manufacturing processes. Finally, it is confirmed that variations in SIS configuration has no impact on silicon dioxide to silicon nitride removal rate selectivity. In the fifth and final part of our study, the silicon dioxide removal rate using a "reverse" ceria-based slurry is investigated under four different combinations of conditioning modes and slurry application methods. In a “reverse” slurry, addition of water acts to promote material removal. Overall, the process using ex-situ conditioning with the SIS results in the highest removal rate, while the process using in-situ conditioning with the conventional point application (PA) generates the lowest removal rate. This study explains the differences in silicon dioxide removal rate based on the variations of the actual slurry dilution ratio on the pad associated with conditioning and slurry application methods. Frictional analysis and Stribeck+ curves are employed to elucidate the tribological characteristics. Results show that the conditioning modes and the slurry application methods vary the extent of the polishing vibrations. Silicon dioxide removal rate is found to linearly correlate with the extent of COF fluctuation. The work underscores the importance of optimum slurry flow dynamics and injection geometry to obtain a more cost-effective and environmentally benign CMP process.
12

Optimization of Polishing Kinematics and Consumables during Chemical Mechanical Planarization Processes

Meled, Anand January 2011 (has links)
This dissertation presents a series of studies relating to optimization of kinematics and consumables during chemical mechanical planarization processes. These are also evaluated with the purpose of minimizing environmental and cost of ownership impacts.In order to study diamond micro-wear and substrate wear during planarization processes, a series of static etch tests and wear tests were performed using different types of diamond discs and subjected to various treatments. Scanning Electron Microscopy (SEM) and Inductively Coupled Plasma Membrane Spectroscopy (ICPMS) were used to estimate the extent of diamond micro-wear and substrate wear.Next, the impact of various factors (type of slurry abrasive, pH, abrasive content and abrasive concentration) on pad wear rate during planarization process was studied. Another study in this dissertation focuses on the development of a novel technique of using coefficient of friction (COF) data to distinguish between good and bad diamond discs. This study made use of the innovative tool diamond disc dragging device (DDD-100) designed and developed for the purpose of this study.It is known that the performance of chemical mechanical planarization depends significantly on the polishing pad grooving type and the kinematics involved in the process. Variations in pad grooving type as well as pressure and sliding velocity can affect polishing performance. One study in this dissertation investigates the effect of pressure and sliding velocity on the polishing performance. The study is conducted on multiple pressure and sliding velocity variations to understand the characteristic of each condition. A subsequent study focuses on the impact of pad grooving type on polishing performance.The greatest contribution of this dissertation involves development of the novel slurry injector to optimize the utilization of slurry during planarization processes. Slurry is a critical component in chemical mechanical planarization processes and accounts for approximately 50 percent of the cost of ownership (CoO). The novel injector apart from reducing the consumption of slurry, also contributed in addressing problems associated with foaming, reduced the number of defects and achieved better within wafer non-uniformity (WIWNU).
13

Développement de procédés de mesure spatialement résolue de la nano-topographie sur distances centimétriques : application au polissage mécano-chimique / Development of spatially resolved metrology processes of nano-topography over centimetric distances : application to chemical mechanical polishing

Dettoni, Florent 21 October 2013 (has links)
Le polissage mécano-chimique (CMP) en raison de spécifications sévères, telles que l‘aplanissement de la surface à ± 5 nm, est devenu un enjeu crucial pour le développement des nœuds technologiques 14 nm et au-delà. Les méthodes actuelles de caractérisation de la topographie, limitées en termes de taille de surface analysée, évaluent l'efficacité des procédés sur des structures nommées boites de mesure. Ces structures mesurent 100 µm x 50 µm et sont situées entre les circuits intégrés. Elles sont donc non représentatives de la topographie de la puce et, de ce fait, des procédés de métrologie topographique de la puce sont requis. Dans un premier temps, nous montrons que la microscopie interférométrique est capable de caractériser la nano-topographie sur des distances centimétriques avec une résolution latérale micrométrique. La caractérisation par microscopie interférométrique de la nano-topographie induite par les procédés de CMP montre que les méthodes actuelles fournissent des valeurs topographiques non représentatives de la puce. Une méthodologie associée à ce nouveau type de caractérisation est proposée et discutée. Dans un deuxième temps, nous montrons que la diffusion de la lumière permet un contrôle rapide (trois minutes par plaques) et non destructif de variations nanométriques de la topographie de grilles de quelques dizaines de nanomètres de large sur toute la plaque. / Chemical Mechanical Polishing (CMP), because of narrower specifications, as surface planarization at ± 5 nm, is becoming a critical process for the development of the 14 nm technology node and beyond. Habitual topographic characterization techniques, limited in acquisition area, appraise processes efficiency through structures called test boxes. Those structures have a size equal to 100 µm by 50 µm and they are located, in the scribe lines, between the chips. Consequently, they are not representative of the die level topography and die level topographic metrology processes are required. In a first time, we show that interferometric microscopy is able to characterize nano-topography over centimetric distances with micrometric lateral resolution. Interferometric microscopy characterization of CMP processes induced nano-topography demonstrates that usual methods provide non representative die level topography values. A new characterization kind related methodology is proposed and discussed. In a second time, we show that diffused light measurement allows fast (three minutes/wafer) and non-destructive control of gate nano-topography variations for pattern widths of some tenths of nanometer.
14

Control Of Slurry Flow, Temperature And Aggressive Diamonds In Chemical Mechanical Planarization

Wu, Changhong January 2015 (has links)
This dissertation presents a series of studies related to the study and control of slurry flow, process temperature, and aggressive diamonds in Chemical Mechanical Planarization (CMP). The purpose of these studies is to better understand the fundamentals of CMP and to explore solutions to some of CMP’s greatest challenges. Within-wafer removal rate non-uniformity (WIWRRNU) is a critical parameter to determine film thickness planarity on a wafer-scale level and it grossly impacts yield. Resolving this issue continues to be an area of intense focus in the industry. The first study in this dissertation shows the feasibility of adopting a new method to improve WIWRRNU during copper CMP that is solely based on intentional local temperature manipulation of the pad. A pad surface thermal management system is developed to locally change pad surface temperature. This system consists of one or more thermal transfer modules contacting the pad surface. In this study, the system is employed to adjust the "center-fast" copper removal rate profile to illustrate its effect during the process. Results shows that, when two thermal transfer modules are employed, local removal rates in the wafer center region decrease significantly while maintaining the removal rates near the wafer edge thereby significantly improving WIWRRNU. Another contribution of this dissertation is the investigation of the effect of pad groove design on slurry injection scheme during interlayer dielectric CMP. A novel slurry injector with multiple slurry outlets is designed, which provides optional slurry injection schemes (i.e. one injection point scheme and multi-injection point scheme). These schemes are compared with the standard slurry application method on a concentrically grooved pad and an xy-groove pad, respectively. On the concentrically grooved pad, the one injection point scheme generates significantly higher oxide removal rates (ranging from 22 to 35 percent) compared to the standard slurry application method at different slurry flow rates. On the xy-groove pad, the one injection point scheme still results in higher removal rates (ranging from 3 to 9 percent), however, its removal rate enhancement is not as high as that of the concentrically grooved pad. In order to further improve slurry availability on the xy-groove pad, the multi-injection point scheme is tested. Results show that the multi-injection point scheme results in significantly higher removal rates (ranging from 17 to 20 percent) compared to the standard slurry application method. This work underscores the importance of optimum slurry injection schemes for accommodating particular groove designs. The last contribution of this dissertation involves a study regarding aggressive diamond characterization and wear analysis during CMP. A 3M A3700 diamond disk is used to condition a Cabot Microelectronics Corporation (CMC) D100 pad for 30 hours. The top 20 aggressive diamonds for two perpendicular disk orientations are identified before the polishing, as well as after 15- and 30-hour polishing. The furrow surface area generated by these top 20 aggressive diamonds and their evolution are analyzed and compared. Results show that the original top 20 aggressive diamonds identified before polishing are subjected to wear after the first 15-hour polishing as the furrow surface area that they generate decreases dramatically (by 47%). As these original aggressive diamonds are worn, seven new aggressive diamonds are "born" and join the new top 20 list for both disk orientations. After the second 15-hour wafer polishing, the furrow surface area of these new top 20 aggressive diamonds do not change significantly. The furrow surface area created by all the active diamonds exhibits the same trend as the top 20 aggressive diamonds, confirming that most pad conditioning work is performed by these aggressive diamonds and that the disk loses its aggressiveness in the first 15 hours of polishing and then maintains its aggressiveness during the second 15 hours, albeit to a lesser extent.
15

Electro-kinetically enhanced nano-metric material removal

Blackburn, Travis Lee 25 August 2008 (has links)
This project is a fundamental proof of concept to look at the feasibility of using field activated abrasive particles to achieve material removal on a substrate. There are a few different goals for this project. The first goal is to prove through visualization that particle movement can be influenced and controlled by changes in electric field. The second goal is to fundamentally prove that particles controlled by electric field can remove material from a substrate. Third, it should be shown that changes in electric field can control the amount of material being removed in a given amount of time. A mathematical model will be presented which predicts metallic material removal rates based on changes in electric field strength. In this project, a technique combining concepts from electrokinetics, electrochemical mechanical planarization, and contact mechanics is proposed, aiming at enhancing planarization performance. By introducing an AC electric field with a DC offset, we try to achieve not only a better control of metallic material removal but also more flexible manipulation of the dynamic behaviour of abrasive particles. The presence of electric field will lead to electrokinetic phenomena including electroosmotic flow of an electrolyte solution and electrophoretic motion of abrasive particles. As a result, we aim to improve both the mechanical performance of planarization that is largely determined by the polishing parameters (e.g. down pressure, rotation speed, pads, and types of abrasives) and the chemical performance of planarization that is governed by selective and collective reactions of different chemical ingrediants of the slurry with the sample surface. The aim is also to understand and improve the interactions of abrasive particles with the sample.
16

Electro-kinetically enhanced nano-metric material removal

Blackburn, Travis Lee. January 2008 (has links)
Thesis (M. S.)--Mechanical Engineering, Georgia Institute of Technology, 2009. / Committee Chair: Danyluk, Steven; Committee Member: Butler, David; Committee Member: Hesketh, Peter; Committee Member: Yoda, Minami. Part of the SMARTech Electronic Thesis and Dissertation Collection.
17

Electrical recommendations and formulas for metal fill in radio-frequency integrated circuits /

Gaskill, Steven (Steven Gary) January 1900 (has links)
Thesis (M.S.)--Oregon State University, 2011. / Printout. Includes bibliographical references (leaves 85-91). Also available on the World Wide Web.
18

Advanced CMP processes for special substrates and for device manufacturing in MEMS applications /

Kulawski, Martin. January 1900 (has links) (PDF)
Thesis (doctoral)--VTT Micronova, 2006. / Includes bibliographical references. Also available on the World Wide Web.
19

Slurry Injection Schemes on the Extent of Slurry Mixing and Availability during Chemical Mechanical Planarization

Bahr, Matthew, Sampurno, Yasa, Han, Ruochen, Philipossian, Ara 29 May 2017 (has links)
In this study, slurry availability and the extent of the slurry mixing (i.e., among fresh slurry, spent slurry, and residual rinse-water) were varied via three different injection schemes. An ultraviolet enhanced fluorescence technique was employed to qualitatively indicate slurry availability and its flow on the pad during polishing. This study investigated standard pad center area slurry application and a slurry injection system (SIS) that covered only the outer half of the wafer track. Results indicated that the radial position of slurry injection and the alteration of fluid mechanics by the SIS played important roles in slurry mixing characteristics and availability atop the pad. Removal rates were found to decrease with slurry availability, while a higher degree of slurry mixing decreased the fraction of fresh slurry and consequently lowered the removal rate. By using a hybrid system (i.e., a combination of slurry injection via SIS and standard pad center slurry application), the polishing process benefited from higher slurry availability and higher fraction of fresh slurry than the conventional pad center slurry application and the shorter SIS, individually. This work underscores the importance of optimum slurry injection geometry and flow for obtaining a more cost-effective and environmentally benign chemical mechanical planarization process.
20

Surface Chemistry Of Application Specific Pads And Copper Chemical Mechanical Planarization

Deshpande, Sameer Arun 01 January 2004 (has links)
Advances in the interconnection technology have played a key role in the continued improvement of the integrated circuit (IC) density, performance and cost. Copper (Cu) metallization, dual damascenes processing and integration of copper with low dielectric constant material are key issues in the IC industries. Chemical mechanical planarization of copper (CuCMP) has emerged as an important process for the manufacturing of ICs. Usually, Cu-CMP process consists of several steps such as the removal of surface layer by mechanical action of the pad and the abrasive particles, the dissolution of the abraded particles in the CMP solution, and the protection of the recess areas. The CMP process occurs at the atomic level at the pad/slurry/wafer interface, and hence, slurries and polishing pads play critical role in its successful implementation. The slurry for the Cu-CMP contains chemical components to facilitate the oxidation and removal of excess Cu as well as passivation of the polished surface. During the process, these slurry chemicals also react with the pad. In the present study, investigations were carried out to understand the effect of hydrogen peroxide (H2O2) as an oxidant and benzotriazole (BTA) as an inhibitor on the CMP of Cu. Interaction of these slurry components on copper has been investigated using electrochemical studies, x-ray photoelectron spectroscopy (XPS) and secondary ion mass spectroscopy (SIMS). In the presence of 0.1M glycine, Cu removal rate was found to be high in the solution containing 5% H2O2 at pH 2 because of the Cu-glycine complexation reaction. The dissolution rate of the Cu was found to increase due to the formation of highly soluble Cu-glycine complex in the presence of H2O2. Addition of 0.01M BTA in the solution containing 0.1M glycine and 5% H2O2 at pH 2 exhibited a reduction in the Cu removal rate due to the formation of Cu-BTA complex on the surface of the Cu further inhibiting the dissolution. XPS and SIMS investigations revealed the formation of such Cu-glycine complex, which help understand the mechanism of the Cu-oxidant-inhibitor interaction during polishing. Along with the slurry, pads used in the Cu-CMP process have direct influence an overall process. To overcome problems associated with the current pads, new application specific pad (ASP) have been developed in collaboration with PsiloQuest Inc. Using plasma enhanced chemical vapor deposition (PECVD) process; surface of such ASP pads were modified. Plasma treatment of a polymer surface results in the formation of various functional groups and radicals. Post plasma treatment such as chemical reduction or oxidation imparts a more uniform distribution of such functional groups on the surface of the polymer resulting in unique surface properties. The mechanical properties of such coated pad have been investigated using nanoindentation technique in collaboration with Dr. Vaidyanathan’s research group. The surface morphology and the chemistry of the ASP are studied using scanning electron microcopy (SEM), x-ray photoelectron spectroscopy (XPS), and fourier transform infrared spectroscopy (FTIR) to understand the formation of different chemical species on the surface. It is observed that the mechanical and the chemical properties of the pad top surface are a function of the PECVD coating time. Such PECVD treated pads are found to be hydrophilic and do not require being stored in aqueous medium during the not-in-use period. The metal removal rate using such surface modified polishing pad is found to increase linearly with the PECVD coating time. Overall, this thesis is an attempt to optimize the two most important parameters of the Cu-CMP process viz. slurry and pads for enhanced performance and ultimately reduce the cost of ownership (CoO).

Page generated in 0.1223 seconds