• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 206
  • 36
  • 13
  • 6
  • 4
  • 4
  • 3
  • 3
  • 3
  • 3
  • 3
  • 2
  • 1
  • 1
  • Tagged with
  • 304
  • 304
  • 171
  • 65
  • 45
  • 40
  • 35
  • 29
  • 27
  • 25
  • 24
  • 23
  • 22
  • 21
  • 21
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
101

Transport experiments in undoped GaAs/A1GaAs heterostructures

Mak, Wing Yee January 2013 (has links)
No description available.
102

Studies on sublimed GaAs films, anodic A12O3 films and A12O3/GaAs interfaces

Yan, George January 1970 (has links)
The structural and electrical properties of sublimed GaAs films, the dielectric properties of anodic A1₂O₃ films and the electrical properties of A1₂O₃/GaAs interfaces are of interest from the viewpoint of using GaAs and A1₂O₃ films in thin-film integrated circuits. A new method, the close-spaced sublimation (CSS) method, was developed and used to deposit GaAs films on sapphire. The effects of growth conditions on the structural properties of the films were investigated using optical and electron microscopy, an electron microprobe and X-ray diffraction techniques. Crystallites increased in size with increasing substrate temperature, from about 0.7μ to 20μ for substrate temperatures from 480 to 670°C. The degree of preferred orientation of crystallites in the films increased with increasing substrate temperature. The films exhibited <111> textures when substrate temperatures were above about 600°C. Single-crystal diffraction patterns were obtained from films deposited on substrates held at 630 to 640°C. Electron microprobe analysis indicated that the ratio of Ga to As in the films was stoichiometric to less than 2 wt %. The as-grown heteroepitaxial films were p-type with room-temperature hole Hall mobility up to 42 cm²/V-sec. The room-temperature resistivity ranged from 0.6Ω -cm to 1.6 x 10⁵Ω-cm. The resistivity of higher resistivity films was more temperature dependent than that of lower resistivity films. The electrical properties of the films are discussed in terms of the effects of space charge regions in the grains, potential barrier at the grain boundaries, deviation from stoichiometry, and compensation of impurities. Conductivity-type conversion of the as-grown films to n-type was done by postdeposition dopant diffusion. A room-temperature electron Hall mobility of 77 cm²/V-sec was obtained. While transistor action and rectification characteristics were observed in thin-film insulated-gate field-effect transistors and Au-Schottky barrier diodes made with GaAs films, better films are required before devices with characteristics competitive with bulk devices can be fabricated. An n-type homoepitaxial film was deposited by using the CSS method. The film's electron Hall mobility varied with temperature as T³ˡ², which is the form predicted by the Brooks-Herring formula for ionized impurity scattering. The room-temperature electron Hall mobility was 219 cm²/V-sec. A.c. bridge and step response methods were used to study the dielectric properties of anodic A1₂O₃ films. Metal/ A1₂O₃/Al capacitors were made using evaporated Al films on glass which-had been anodized in ammonium penta-borate dissolved in ethylene glycol. The dielectric constant and loss tangent of anodic A1₂O₃ decreased with increasing frequency over the range from 0.5 to 100 kHz. Step response currents followed a t⁻ⁿ law. For linear dielectric response, this corresponded to є”(ω) varying as ωⁿ⁻¹. Electrical properties of A1₂O₃/GaAs interfaces were studied using the metal-insulator-semiconductor (MIS) capacitance technique. Theoretical curves relating the capacitance of metal/ A1₂O₃/GaAs capacitor to the d.c. voltage applied across the capacitor were calculated and plotted. These capacitance-voltage (C-V) curves then served as a basis for the interpretation of experimental C-V curves. "Fast" surface state densities greater than 10¹²/cm²-eV were obtained. / Applied Science, Faculty of / Electrical and Computer Engineering, Department of / Graduate
103

GaAs material investigation for integrated circuits fabrication

Dindo, Salam January 1985 (has links)
The primary objective of the work described in this thesis was to study the influence of undoped LEC GaAs substrate material from various suppliers on the performance of ion implanted and annealed active layers. Optical transient current spectroscopy (OTCS) was investigated as a qualification test for GaAs substrates. Deep level spectra of the substrates before ion implantation were obtained. It was found that while the OTCS spectra of high pressure grown GaAs from two suppliers were similar, that of the low pressure material showed different relative concentration of traps. The use of OTCS was further extended to study trap concentration as a function of surface treatment. It was found that the use of chemical etchants reduces the concentration of some levels, possibly those located on the surface as opposed to bulk traps. Surface damage was found to enhance the negative peak in the OTCS spectrum. The deep levels spectra were found to be affected by the geometry of the device and the type of electrode material. Channel current deep level transient spectroscopy (DLTS) was used to study both process- and substrate-induced deep levels in ion implanted MESFET channels. The spectra of process-induced traps were found to be different according to the encapsulant used. Silicon dioxide (both RF sputtered and plasma enhanced chemically vapor deposited (PECVD)) was found to induce a variety of process related defects. This is believed to be because silicon dioxide is permeable to gallium and hence does not preserve the stoichiometry of ion implanted GaAs during high temperature anneals. Deep level spectra of MESFETs annealed using silicon nitride, on the other hand, were found to contain single traps related to the defects in the starting material. For implants through silicon nitride, a high concentration of the main electron trap EL2 was found, whereas implants directly into the surface resulted in the level EL12. Comparison of the characteristics of the variety of LEC undoped GaAs material show that they differed widely and had inhomogeneous properties. For example, compared to the high pressure grown GaAs, the Litton’s low pressure substrate had lower activation, mobility, drain current and threshold voltage, good confinement of the scatter in the same characteristics, low concentration of deep levels, and the least backgating effect which makes it promising for IC fabrication. Comparison of the high pressure grown material from two suppliers showed that Cominco's recent material had good mobility, activation, relatively high scatter of threshold voltage, high concentration of deep levels, and was affected by backgating. In comparison, Sumitomo's material showed thermal instability, less scatter of threshold voltage, less mobility and deep level concentrations, and similar backgating characteristics. Substrate grown three years earlier showed higher diffusion of dopant, different deep levels, and better backgating characteristics. Finally, a substrate which had failed the qualification test by a device manufacturer showed minimal diffusion tails and threshold voltage scatter, the highest concentration of deep levels, and substantial backgating. / Applied Science, Faculty of / Electrical and Computer Engineering, Department of / Graduate
104

Optical detection of paramagnetic and cyclotron resonance in semiconductors

Booth, Ian January 1985 (has links)
Optical Detection of Magnetic Resonance (ODMR) has been used to observe both paramagnetic and diamagnetic resonance of photo-excited electrons and holes in GaP, ZnTe and AgBr. Paramagnetic resonance of conduction electrons in GaP has been studied and the microwave frequency and power dependence of the effect analysed. The maximum signal strength was observed to produce approximately 1% change in luminescence at 1.6 K. The g value deduced from the resonance was 2.000 ± 0.005. The resonance was homogeneously broadened giving the electron lifetime as approximately 4 nanoseconds. Paramagnetic resonance of electrons and holes has also been detected in AgBr. The background signals present in ODMR experiments have been investigated and are shown to be caused by diamagnetic or cyclotron resonance heating of photoexcited carriers. Measurements at microwave frequencies of 9.2 and 36.3 GHz have been made on GaP,ZnTe and AgBr, and cyclotron resonance of electrons and holes observed. The effective masses of light and heavy holes in GaP were found to be 0.154 ± 0.01 and 0.626 ± 0.06 respectively while the electron effective mass was 0.36 ± 0.10. The electron scattering time was shorter than that for holes by a factor of approximately three, most likely due to scattering by isoelectronic nitrogen impurities. Resonances were observed in ZnTe at effective mass values of 0.30 ± 0.20 and 0.76 ± 0.20 corresponding to electrons and heavy holes. In both GaP and ZnTe resonances due to electrons and holes appeared in different luminescence bands indicating the sensitivity of different recombination centres to heating of either carrier type. Cyclotron resonance of electrons and holes was also observed in AgBr and showed the effects of conduction and valence band non-parabolicity. A feature in the electron resonance indicated enhanced trapping of electrons with certain energies by emission of one or more LO phonons. / Science, Faculty of / Physics and Astronomy, Department of / Graduate
105

Properties of polycrystalline GaAs films grown by the close spaced vapour transport technique on Mo substrates

Russel, Blair January 1976 (has links)
This thesis is a study of the properties of thin GaAs films grown on molybdenum substrates by the close spaced vapour transport (CSVT) deposition technique with the intention that the GaAs/Mo structure would be used as the semiconductor and substrate for economic solar cells. The GaAs films were polycrystalline cubic crystals with no preferred orientation. The crystallite area increased with the temperature at which the substrate was held during growth and at 710°C grain sizes of 100 μm² were observed. The crystallites formed a columnar-like structure with crystal size comparable to the film thickness. No impurities of foreign instrus-ions existed in the films in quantities observable on the electron micro-probe. The resistivity of the GaAs films was 220 Ω cm, hence acceptable for thin film solar cells, however, the GaAs/Mo contact was mildly rectifying. Diodes were fabricated by the deposition of Au onto the GaAs films and the resulting barriers showed values of barrier height of approximately 0.8 eV, ideality factor n = 1.5 to 2, and depletion-layer majority carrier concentration of roughly 10¹⁶ cm⁻³ as measured by J-V and C-V methods. The GaAs films show promise for use in solar cells provided that the Mo/GaAs interface resistance can be reduced. / Applied Science, Faculty of / Electrical and Computer Engineering, Department of / Graduate
106

Electrodeposition of gallium arsenide from aqueous solutions

Yang, Ming-Chang January 1990 (has links)
No description available.
107

SIMULATION STUDY OF InP-BASED UNI-TRAVELING CARRIER PHOTODIODE

SRIVASTAVA, SHIVANI January 2003 (has links)
No description available.
108

Picosecond optical studies of semiconductor dynamics /

McLean, Daniel Garth January 1984 (has links)
No description available.
109

Detection of Gallium Arsenide Semiconductor Laser Pulses with Avalanche Detectors

Marshall, Albert Henry 01 January 1973 (has links) (PDF)
No description available.
110

Generation of squeezed light in semiconductors

Schucan, Gian-Mattia January 1999 (has links)
We present experimental studies based on all three methods by which the generation of squeezed light in semiconductors has thus far been demonstrated experimentally: Fourwave mixing, multi-photon absorption and direct generation at the source. Four-wave mixing was used to generate femtosecond-pulsed quadrature squeezed light by cross-phase modulation in single-crystal hexagonal CdSe at wavelengths between 1.42 and 1.55 μm. We measured 0.4 dB squeezing (1.1 dB is inferred at the crystal) using 100 fs pulses. The wavelength and the intensity dependence, as well as variations in the local oscillator configuration were investigated. At higher intensities squeezing was shown to deteriorate owing to competing nonlinear processes. We also characterised the nonlinear optical properties of CdSe in this wavelengths range using an interferometric autocorrelator. In addition, we studied the feasibility of extending this technique to AlGaAs waveguides. The key problems are addressed and solutions are proposed. In a different experiment we used an AlGaAs waveguide to demonstrate for the first time photon-number squeezing by multi-photon absorption. By tuning the pump energy through the half bandgap energy we could effectively select two- or three-photon absorption as the dominant mechanism. Squeezing by these two mechanisms could be clearly distinguished and was found to be in good agreement with longstanding theoretical predictions. We also established the generality of the effect, by demonstrating the same mechanism in organic semiconductors, where it led to the first ever observation of squeezed light in an organic material. Finally, we present our measurements of photon-number squeezing in high-efficiency double heterojunction AlGaAs light-emitting diodes. We measured squeezing of up to 2.0 dB. In addition, we observed quantum noise correlations when several of these devices were connected in series.

Page generated in 0.0619 seconds