• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 37
  • 18
  • 9
  • 3
  • 1
  • 1
  • Tagged with
  • 85
  • 85
  • 22
  • 15
  • 14
  • 11
  • 10
  • 9
  • 8
  • 8
  • 7
  • 6
  • 6
  • 6
  • 6
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
31

Electrical effects and thermal stability of plasma damage in AlGaN alloys

Syed, Ahad Ali. January 1900 (has links)
Thesis (M.S.)--West Virginia University, 2008. / Title from document title page. Document formatted into pages; contains xiv, 93 p. : ill. (some col.). Includes abstract. Includes bibliographical references (p. 85-88). WVU users: Also available in print for a fee.
32

Surface modulation of fluoropolymers for the improvement of adhesion : O₂-CF₄-Ar radio frequency plasma modification of poly (tetrafluoroethylene) /

Lu, Kan P. January 1994 (has links)
Thesis (M.S.)--Rochester Institute of Technology, 1994. / Typescript. Includes bibliographical references (leaves 62-65).
33

Model Analysis of Plasma-Surface Interactions during Silicon Oxide Etching in Fluorocarbon Plasmas / フルオロカーボンプラズマによる酸化シリコンエッチングにおけるプラズマ-表面相互作用の数値解析

Fukumoto, Hiroshi 23 May 2012 (has links)
Kyoto University (京都大学) / 0048 / 新制・課程博士 / 博士(工学) / 甲第17064号 / 工博第3613号 / 新制||工||1548(附属図書館) / 29784 / 京都大学大学院工学研究科航空宇宙工学専攻 / (主査)教授 斧 髙一, 教授 稲室 隆二, 教授 青木 一生 / 学位規則第4条第1項該当
34

Nanoscalar modifications to tissue engineering scaffolds: Effect on cellular behavior

Powell, Heather Megan 12 October 2004 (has links)
No description available.
35

Nanofabrication and characterization of high density nanostructures and QDs using ni annealing and anodic porous alumina methods

Denchitcharoen, Somyod January 2009 (has links)
No description available.
36

Hydrogen-based plasma etch of copper at low temperature

Wu, Fangyu 28 February 2011 (has links)
Although copper (Cu) is the preferred interconnect material due to its lower resistivity than aluminum (Al), Cu subtractive etching processes have not been developed at temperatures less than 180 °C, primarily due to the inability to form volatile etch products at low temperature. The conventional damascene technology avoids the need for subtractive etching of Cu by electroplating Cu into previously etched dielectric trenches/vias, followed by a chemical/mechanical planarization (CMP) process. However, a critical "size effect" limitation has arisen for damascene technology as a result of the continuing efforts to adhere to "Moore's Law". The size effect relates to the fact that the resistivity of damascene-generated lines increases dramatically as the line width approaches the sub-100 nm regime, where feature size is similar to the mean free path of electrons in Cu (40 nm). As a result, an alternative Cu patterning process to that of damascene may offer advantages for device speed and thus operation. This thesis describes investigations into the development of novel, fully-plasma based etch processes for Cu at low temperatures (10 °C). Initially, the investigation of a two-step etch process has been studied. This etch approach was based on a previous thermodynamic analysis of the Cu-Cl-H system by investigators at the University of Florida. In the first step, Cu films are exposed to a Cl₂ plasma to preferentially form CuCl₂, which is believed to be volatilized as Cu₃Cl₃ by subsequent exposure to a hydrogen (H₂) plasma (second step). Patterning of Cu films masked with silicon dioxide (SiO₂) layers in an inductively coupled plasma (ICP) reactor indicates that the H₂ plasma step in the two-step process is the limiting step in the etch process. This discovery led to the investigation of a single step Cu etch process using a pure H₂ plasma. Etching of blanket Cu films and Cu film patterning at 10°C, display an etch rate ~ 13 nm/min; anisotropic etched features are also observed. Comparison of H₂ plasma etching to sputtering of Cu films in argon (Ar) plasmas, indicates that both a chemical component and a physical component are involved in the etching mechanism. Additional studies using helium plasmas and variation of power applied to the plasma and etching surface demonstrate that the etch rate is controlled by reactive hydrogen species, ion bombardment flux and likely photon flux. Optical Emission Spectroscopy (OES) of the H₂ plasma during the Cu etching process detects Cu emission lines, but is unable to identify specific Cu etch products that desorb from the etching surface. Variation of Cu etch rates as a function of temperature suggests a change in mechanism for the removal of Cu over the temperature of -150 °C to 150 °C. OES analyses also suggest that the Cl₂ plasma step in the two-step process can inhibit Cu etching, since the subsequent H₂ (second) plasma step shows a time delay in film removal. Preliminary results of the etching of the SiO₂ mask material in H₂ plasmas with various intentionally introduced contaminants demonstrate the robustness of the H₂ plasma Cu etch process.
37

Multivariate statistical monitoring and diagnosis with applications in semiconductor processes /

Yue, Hongyu, January 2000 (has links)
Thesis (Ph. D.)--University of Texas at Austin, 2000. / Vita. Includes bibliographical references (leaves 187-201). Available also in a digital version from Dissertation Abstracts.
38

Photoresist development on SiC and its use as an etch mask for SiC plasma etch

Mishra, Ritwik. January 2002 (has links)
Thesis (M.S.) -- Mississippi State University. Department of Electrical and Computer Engineering. / Title from title screen. Includes bibliographical references.
39

Room-temperature aluminum gallium arsenic antimonide/indium gallium arsenic antimonide heterojunction phototransistors for the 2 micron region

Swaminathan, Krishna. January 2009 (has links)
Thesis (M.Mat.S.E.)--University of Delaware, 2007. / Principal faculty advisor: Robert L. Opila, Dept. of Materials Science & Engineering. Includes bibliographical references.
40

Atomistic simulations of H2 and He plasmas modification of thin-films materials for advanced etch processes / Modification de matériaux en couches minces par plasmas H2 ou He : simulations atomistiques pour procédés de gravure innovants

Martirosyan, Vahagn 15 December 2017 (has links)
Ce travail de thèse aborde l’un des défis technologiques liés au développement de nouvelles générations de transistors (FinFET, FDSOI), pour lesquels la gravure de couches ultraminces révèle plusieurs problèmes. En particulier, la gravure des espaceurs nitrure (SiN) doit être réalisée avec une précision nanométrique sans endommager les couches sous-jacentes, étape qui ne peut plus être réalisée par des plasmas conventionnels continus. Afin de dépasser cette limitation, une approche innovante a été récemment développée (dite Smart-Etch), qui s’appuie sur l'implantation d’ions légers et se déroule en deux étapes. Premièrement, le matériau à graver est exposé à un plasma ICP ou CCP d’hydrogène (H2) ou d’hélium (He); dans une deuxième étape, la couche modifiée est retirée sélectivement par gravure humide ou exposition à des réactifs gazeux. Afin d’appréhender les mécanismes fondamentaux de la première étape et assister le développement de cette nouvelle technologie, des simulations de dynamique moléculaire (MD) ont été réalisées pour étudier l'interaction des plasmas H2/He avec des couches de Si/SiN. La MD a été utilisée pour examiner comment la modification de ces substrats est affectée par l’énergie ionique, la dose ionique, la composition ionique ou le rapport flux de radicaux/ flux d’ions (dans le cas d’un plasma H2). En accord avec les expériences, les simulations de bombardement ionique He+ ou Hx+ (x = 1-3) sur Si/SiN montrent que l’implantation ionique est auto-limitée, et que l’évolution de la surface se déroule en deux étapes : une rapide modification en volume (sans gravure) suivie d'une saturation lente et de la formation d'une couche implantée stable en régime permanent (état stationnaire). Les mécanismes d'endommagement induit par les ions (rupture des liaisons Si-Si ou Si-N, piégeage/désorption d’He ou H2, formation de groupes SiHx (x = 1-3) en profondeur), sont étudiés et permettent d’apporter de nouveaux éléments de compréhension aux technologies Smart-Cut et Smart-Etch. L’exposition de substrats Si/SiN à un plasma H2 (impacts d’ions Hx+ et de radicaux H) a également été étudiée pour différentes conditions plasma. Dans ce cas, une transformation auto-limitée est observée mais les couches modifiées/hydrogénées sont simultanément gravées pendant l'implantation ionique, à un taux 10 fois inférieur pour SiN par rapport à Si. Les simulations montrent que modifier des substrats Si/SiN avec une précision nanométrique nécessite un contrôle prudent de l’énergie et du flux des ions incidents. En particulier, les faibles doses ioniques doivent être évitées car l’évolution de la surface ne peut pas être contrôlée précisément en régime transitoire (modification rapide). Dans les plasmas H2, les énergies ioniques élevées induisent des couches modifiées plus épaisses mais des taux d'hydrogénation plus faibles et moins homogènes. La composition ionique et le rapport flux de radicaux/ flux ions (Γ) doivent également être controllés avec précaution, notamment car la vitesse de gravure du matériau augmente avec Γ, ce qui empêche entre-autre la possibilité du Smart-Etch pour le silicium. Les simulations MD réalisées dans cette thèse permettent de clarifier divers phénomènes inexpliqués observés dans le Smart-Etch expérimentalement, et de révéler quelques problèmes possibles dans ce nouveau procédé. Finalement, une gamme de paramètres plasma est proposée pour optimiser cette première étape de Smart-Etch et contrôler la modification de SiN avec une précision sous-nanométrique. / This PhD thesis focuses on technological challenges related to the development of advanced transistors (FinFET, FDSOI), where the etching of thin films reveals several issues. In particular, the etching of silicon nitride spacers should be achieved with a nanoscale precision without damaging the underlayers, a step which cannot be addressed by conventional CW plasmas. To overpass this limitation, an innovative approach was recently developed (so-called Smart Etch), which is based on light ion implantation and composed of two steps. First, the material to be etched is modified by exposure to a hydrogen (H2) or helium (He) ICP or CCP plasma; in a second step, the modified layer is selectively removed using wet etching or gaseous reactants only. To support the fundamental understanding of the first step and assist the development of this new technology, molecular dynamics (MD) simulations were performed to study the interaction between silicon/silicon nitride films and hydrogen/helium plasmas. MD was used to investigate how the substrates modification is affected by the ion energy, the ion dose, the ion composition or the radical-to-ion flux ratio (in the case of a H2 plasma). In agreement with experiments, simulations of He+ or Hx+ (x=1-3) ion bombardment of Si/SiN show that a self-limited ion implantation takes place with a surface evolution composed of two stages: a rapid volume modification (with no etching) followed by a slow saturation and the formation of a stable He- or H- implanted layer at steady state. The mechanisms of ion-induced damage (Si-Si or Si-N bond breaking, He or H2 trapping/desorption, SiHx (x=1-3) complex creation) are investigated and allow to bring new insights to both the Smart Cut and Smart Etch technologies. Si/SiN exposure to various H2 plasma conditions (with both Hx+ ions and H radicals) was then studied. In this case, a self-limited transformation is observed but the H-modified layers are simultaneously etched during the ion implantation, at a rate ~10 times smaller for SiN compared to Si. Simulations show that to modify Si/SiN thin films with a nanoscale precision by H2 or He plasmas, both the ion energy and the ion flux have to be controlled very cautiously. In particular, low ion doses, where the substrate evolution is in rapid modification stage, must be avoided since the substrate evolution cannot be precisely controlled. In H2 plasmas, high ion energies induce thicker modified layers but smaller and less homogeneous hydrogenation rates. The ion composition and the radical-to-ion flux ratio Γ must be considered as well, since the etch rate increases with Γ, compromising even the possibility to achieve a Smart Etch of silicon. The MD simulations performed in this thesis enable to clarify various unexplained phenomena seen in the Smart-Etch experimentally, and reveal some possible issues in this new process. In the end, a range for plasma parameters is proposed to optimize this first step of the Smart Etch process and to control the modification of SiN with a sub-nanoscale precision.

Page generated in 0.137 seconds