• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 6
  • Tagged with
  • 6
  • 6
  • 6
  • 6
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • 1
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

Materials development for step and flash imprint lithography

Jacobsson, Borje Michael 23 September 2011 (has links)
The quest for smaller and faster integrated circuits (ICs) continues, but traditional photolithography, the patterning process used to fabricate them, is rapidly approaching its physical limits. Step and Flash Imprint Lithography (S-FIL®) is a low-cost patterning technique which has shown great potential for next generation semiconductor manufacturing. To date, all methods of imprint lithography have utilized a sacrificial resist to produce device features. Our goal has been to develop functional materials such as insulators that can be directly patterned by S-FIL and then remain as a part of the end product. Directly patternable dielectric (DPD) materials must meet multiple mechanical and physical requirements for application in microelectronic devices. In some cases these requirements are conflicting, which leads to material design challenges. Many different materials and curing methods have been evaluated. Thiol-ene based approaches to patterning hyperbranched materials incorporating Polyhedral Oligomeric Silsesquioxanes (POSS) have shown the greatest promise. Thiol-ene polymerization takes place by a free radical mechanism, but it has the advantage over acrylates of not being inhibited by the presence of oxygen. This greatly eases some engineering design challenges for the S-FIL process. A number of thiol-ene formulations have been prepared and their mechanical and electrical properties evaluated. SFIL-R has been introduced as an alternative technology to SFIL. SFIL-R offers improvements to SFIL in several ways, but requires a high silicon content, low viscosity, planarizing material. Photopolymerizable branched siloxanes were synthesized and evaluated to function as a planarizing topcoat for this technology. Both SFIL and SFIL-R require a clean separation of the template from the resist material. Fouling of templates is a major concern in imprint lithography and fluorinated materials are used to treat templates to lower their surface energy for better separation. It has been observed that the template treatment degrades over time and needs to be replaced for further imprinting. A fluorinated silazane was designed to repair the degraded areas. This material was evaluated and functions as designed. / text
2

Multiscale modeling using goal-oriented adaptivity and numerical homogenization

Jhurani, Chetan Kumar 16 October 2009 (has links)
Modeling of engineering objects with complex heterogeneous material structure at nanoscale level has emerged as an important research problem. In this research, we are interested in multiscale modeling and analysis of mechanical properties of the polymer structures created in the Step and Flash Imprint Lithography (SFIL) process. SFIL is a novel imprint lithography process designed to transfer circuit patterns for fabricating microchips in low-pressure and room-temperature environments. Since the smallest features in SFIL are only a few molecules across, approximating them as a continuum is not completely accurate. Previous research in this subject has dealt with coupling discrete models with continuum hyperelasticity models. The modeling of the post-polymerization step in SFIL involves computing solutions of large nonlinear energy minimization problems with fast spatial variation in material properties. An equilibrium configuration is found by minimizing the energy of this heterogeneous polymeric lattice. Numerical solution of such a molecular statics base model, which is assumed to describe the microstructure completely, is computationally very expensive. This is due to the problem size – on the order of millions of degrees of freedom (DOFs). Rapid variation in material properties, ill-conditioning, nonlinearity, and non-convexity make this problem even more challenging to solve. We devise a method for efficient approximation of the solution. Combining numerical homogenization, adaptive finite element meshes, and goaloriented error estimation, we develop a black-box method for efficient solution of problems with multiple spatial scales. The purpose of this homogenization method is to reduce the number of DOFs, find locally optimal effective material properties, and do goal-oriented mesh refinement. In addition, it smoothes the energy landscape. Traditionally, a finite element mesh is designed after obtaining material properties in different regions. The mesh has to resolve material discontinuities and rapid variations. In our approach, however, we generate a sequence of coarse meshes (possibly 1-irregular), and homogenize material properties on each coarse mesh element using a locally posed constrained convex quadratic optimization problem. This upscaling is done using Moore-Penrose pseudoinverse of the linearized fine-scale element stiffness matrices, and a material independent interpolation operator. This requires solution of a continuous-time Lyapunov equation on each element. Using the adjoint solution, we compute local error estimates in the quantity of interest. The error estimates also drive the automatic mesh adaptivity algorithm. The results show that this method uses orders of magnitude fewer degrees of freedom to give fast and approximate solutions of the original fine-scale problem. Critical to the computational speed of local homogenization is computing Moore-Penrose pseudoinverse of rank-deficient matrices without using Singular Value Decomposition. To this end, we use four algorithms, each having different desirable features. The algorithms are based on Tikhonov regularization, sparse QR factorization, a priori knowledge of the null-space of the matrix, and iterative methods based on proper splittings of matrices. These algorithms can exploit sparsity and thus are fast. Although the homogenization method is designed with a specific molecular statics problem in mind, it is a general method applicable for problems with a given fine mesh that sufficiently resolves the fine-scale material properties. We verify the method using a conductivity problem in 2-D, with chessboard like thermal conductivity pattern, which has a known homogenized conductivity. We analyze other aspects of the homogenization method, for example the choice of norm in which we measure local error, optimum coarse mesh element size for homogenizing SFIL lattices, and the effect of the method chosen for computing the pseudoinverse. / text
3

Materials and processes for advanced lithography applications

Jen, Wei-Lun Kane 25 January 2011 (has links)
Step and Flash Imprint Lithography (S-FIL) is a high resolution, next-generation lithography technique that uses an ambient temperature and low pressure process to replicate high resolution images in a UV-curable liquid material. Application of the S-FIL process in conjunction with multi-level imprint templates and new imprint materials enables one S-FIL step to reproduce the same structures that require two photolithography steps, thereby greatly reducing the number of patterning steps required for the copper, dual damascene process used to fabricate interconnect wirings in modern integrated circuits. Two approaches were explored for the implementation of S-FIL in the dual damascene process: sacrificial imprint materials and imprintable dielectric materials. Sacrificial imprint materials function as a pattern recording medium during S-FIL and a three-dimensional etch mask during the dielectric substrate etch, enabling the simultaneous patterning of both the via and metal structures in the dielectric substrate. Development of sacrificial imprint materials and the associated imprint and etch processes are described. Application of S-FIL and the sacrificial imprint material in a commercial copper dual damascene process successfully produced functional copper interconnect structures, demonstrating the feasibility of integrating multi-level S-FIL in the copper dual damascene process. Imprintable dielectric materials are designed to combine the multi-level patterning capability of S-FIL with novel dielectric precursor materials, enabling the simultaneous deposition and patterning of the interlayer dielectric material. Several candidate imprintable dielectric materials were evaluated: sol-gel, polyhedral oligomeric silsesquioxane (POSS) epoxide, POSS acrylate, POSS azide, and POSS thiol. POSS thiol shows the most promise as functional imprintable dielectric material, although additional work in the POSS thiol formulation and viscous dispense process are needed to produce functional interconnect structures. Integration of S-FIL with imprintable dielectric materials would enable further streamlining of the dual damascene fabrication process. The fabrication of electronic devices on flexible substrates represents an opportunity for the development of macroelectronics such as flexible displays and large area devices. Traditional optical lithography encounters alignment and overlay limitations when applied on flexible substrates. A thermally activated, dual-tone photoresist system and its associated etch process were developed to enable the simultaneous patterning of two device layers on a flexible substrate. / text
4

Studies of nontraditional high resolution thin film patterning techniques

Collister, Elizabeth Ann 06 August 2012 (has links)
This thesis discusses two patterning techniques: Step and Flash Imprint Lithography, a nanoimprint technique, and patterning thin films utilizing electrohydrodynamic instabilities. Step and Flash Imprint Lithography, SFIL, is promising alternative approach to photolithography. SFIL replicates the relief pattern of a template in a photocurable liquid that has been dispensed on a substrate. The pattern is then crosslinked when the photocurable liquid is exposed to UV light through the template. In order to study the volume change in the created features upon exposure, a stochastic mesoscale model was formulated. This model allows the study of the possibility of defects forming, from under cured etch barrier, or particle contamination of the template. The results showed large defects should not occur regularly until the minimum feature size is below 3 nanometers. The mesoscale model proved to computationally intensive to simulate features of engineering interest. A base multiscale model was formulated to simulate the effects of the densification of the photocurable liquid as well as the effects of the polymerization on the feature integrity. The multiscale model combines a continuum model (compressible Mooney-Rivlin) coupled to the mesoscale code using the Arlequin method. The multiscale model lays the framework that may be adapted to the study of other SFIL processes like template release. Patterning thin films utilizing electrohydrodynamic instabilities allows for the creation of periodic arrays of pillar like features. These pillars form due to the electric field destabilizing the thin film. Prior work has focused on utilizing polymeric films heated above their glass transition temperatures. In order to decrease the process time in the pillar formation process, work was done to study photocurable systems. The systems which proved favorable to the pillar creation process were the thiol-ene system as well as the maleimide systems. Further work was done on controlling the packing and ordering of the formed pillar arrays by using patterned templates. The result of these studies is that control was only able to be achieved to the third generation of pillars formed due to the inability to fully control the gap over the entire active area. / text
5

Electromigration enhanced kinetics of Cu-Sn intermetallic compounds in Pb free solder joints and Cu low-k dual damascene processing using step and flash imprint lithography

Chao, Huang-Lin 02 June 2010 (has links)
This dissertation constitutes two major sections. In the first major section, a kinetic analysis was established to investigate the electromigration (EM), enhanced intermetallic compound (IMC) growth and void formation for Sn-based Pb-free solder joints to Cu under bump metallization (UBM). The model takes into account the interfacial intermetallic reaction, Cu-Sn interdiffusion, and current stressing. A new approach was developed to derive atomic diffusivities and effective charge numbers based on Simulated Annealing (SA) in conjunction with the kinetic model. The finite difference (FD) kinetic model based on this approach accurately predicted the intermetallic compound growth when compared to empirical observation. The ultimate electromigration failure of the solder joints was caused by extensive void formation at the intermetallic interface. The void formation mechanism was analyzed by modeling the vacancy transport under electromigration. The effects of current density and Cu diffusivity in Sn solder were also investigated with the kinetic model. The second major section describes the integration of Step and Flash Imprint Lithography (S-FIL®) into an industry standard Cu/low-k dual damascene process. The yield on a Back End Of the Line (BEOL) test vehicle that contains standard test structures such as via chains with 120 nm vias was established by electrical tests. S-FIL shows promise as a cost effective solution to patterning sub 45 nm features and is capable of simultaneously patterning two levels of interconnect structures, which provides a low cost BEOL process. The critical processing step in the integration is the reactive ion etching (RIE) process that transfers the multilevel patterns to the inter-level dielectrics (ILD). An in-situ, multistep etch process was developed that gives excellent pattern structures in two industry standard Chemical Vapor Deposited (CVD) low-k dielectrics. The etch process showed excellent pattern fidelity and a wide process window. Electrical testing was conducted on the test vehicle to show that this process renders high yield and consistent via resistance. Discussions of the failure behaviors that are characteristic to the use of S-FIL are provided. / text
6

Fluid management in immersion and imprint microlithography

Bassett, Derek William 31 January 2011 (has links)
The important roles of fluid dynamics in immersion lithography (IL) and step-and-flash imprint lithography (S FIL) are analyzed experimentally and theoretically. In IL there are many challenges with managing a fluid droplet between the lens and the wafer, including preventing separation of the fluid droplet from the lens and deposition of small droplets behind the lens. Fluid management is also critical in S FIL because the imprint fluid creates capillary and lubrication forces, both of which are primarily responsible for the dynamics of the template and fluid motion. The fluid flow and shape of the wafer determine how uniform the gap height between the wafer and the template is, and they affect the resistance during the alignment phase. IL was investigated as a methodology to improve laser lithography for making photomasks. The fluid flow in IL was investigated by building a test apparatus to simulate the motion of the fluid droplet during microlithographic production, and using this apparatus to conduct experiments on various immersion fluids and wafer topcoats to determine what instabilities would occur. A theoretical model was used to predict the fluid separation instabilities. Finite element simulations were also used to model the fluid droplet, and these simulations accurately predict the fluid instabilities and quantitatively agreed with the model and experiments. It is shown that the process is viable: capillary forces are sufficient to keep the fluid droplet stable, heating effects due to the laser are negligible, and other concerns such as evaporation and dissolution are manageable. Euler beam theory and the lubrication equation were used to model the bending of an S FIL template and the flow of the fluid between the template and a non-flat wafer. The template filling time, conformance of the template to the wafer, and the alignment phase are investigated with an analytical model and finite element simulations. Analysis and simulations show that uniformity of the residual film thickness and ease of proper alignment depend greatly on the planarity of the wafer, the properties of the template, and the surface tension of the fluid. / text

Page generated in 0.1095 seconds