• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 13
  • 1
  • 1
  • Tagged with
  • 17
  • 17
  • 6
  • 6
  • 6
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • 2
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

Dual field nano precision overlay

Yin, Bailey Anderson 03 January 2011 (has links)
Currently, the imprint lithography steppers are designed to only pattern one field of 26 x 33 mm at a time. This choice is based on the desire to mix-and-match to the standard optical lithography tools whose field size is also 26 x 33 mm. Throughput can be increased if more than one field can be imprinted simultaneously. The problem with adding a field to the imprinting template is that each field has overlay errors associated with it that are created when the template is manufactured and when the corresponding prior field is manufactured on the wafer. The current process is able to correct these template and wafer overlay errors using a precision stage and actuators that elastically deform the template. The same method cannot be used when there are two fields because the fields are not independent and interact with each other. Correcting the errors in one of the fields tend to increase the error in the second field. vii In this thesis, a new control method has been created to account for the dependent motion. A new template concept was also created to try to limit the interaction between the two fields. The new control algorithm was tested in simulation to see if it could correct the current 1-field setup as well as the new concept of having more than one field on a template. The control algorithm was also used to test applications where the overlay errors in only one direction need to be corrected. The control algorithm was tested on a solid single field template, the baseline case, and was able to achieve 1.3 nm overlay, which is consistent with the current method. The algorithm was then tested on the dual field concepts. The range of alignment errors needed to get 5 nm overlay are too tight for current manufacturing but the compliant concept did have more relaxed ranges than the solid dual field template. With more research, the compliant template concept might be changed to allow for wider ranges. The tests with correction in only one direction had promising data that should be investigated further. / text
2

Development and optimization of shape-specific, stimuli-responsive drug delivery nanocarriers using Step and Flash Imprint Lithography

Caldorera-Moore, Mary 30 September 2010 (has links)
The advent of highly sophisticated drugs designed to interfere with specific cellular functions has created the demand for “intelligent” carriers that can efficiently deliver therapeutic agents in response to a pathophysiogical condition. Nanoscale intelligent systems can maximize the efficacy of therapeutic treatments in numerous ways because they have the ability to rapidly detect and response to disease states directly at the site and sparing physiologically healthy cells and tissues, thereby improving a patient’s quality of life. Nanoparticle fabrication has primarily relied on emulsions, self-assembly and micelles based methods which inherently generate polydisperse spherical particles with little control over particle geometry. Despite significant progress in such drug delivery systems, critical limitations remain in synthesizing nanocarriers with highly controllable architecture (size, shape or aspect ratio) that can, at the same time, impart response-sensitive release mechanisms. These parameters are essential for controlling the in-vivo transport, bio-distribution, and drug release mechanisms. The objective of my dissertation is to employ the nanofabrication technique Step and Flash Imprint Lithography (S-FIL) to synthesize stimuli-responsive nanocarriers of precise architectures and composition. Applying S-FIL technology, fabrication of nanocarriers of a variety of shapes and sizes (down to 36nm length scale) that are also environmentally responsive by incorporating enzymatically-degradable peptides into the nanocarrier hydrogel matrix, to provide triggered release of encapsulated therapeutic agents in response to specific pathophysiological conditions, has been accomplished. Besides disease-responsive release, the two key properties of an effective nanocarrier are (a) efficient targeting to specific tissues and cells and (b) avoiding rapid clearance and remaining in circulation in the blood stream for a significant amount of time to increase particle uptake in target tissues. These two properties are expected to be dependent on the shape and size of the carriers. Using various shape and size S-FIL fabricated nanoparticles, the effects of particle geometry on intracellular uptake has also been evaluated. In this dissertation, I will present the extensive work that has been done in the fabrication and optimization of the S-FIL nanocarriers, evaluation of the nanocarrier’s in vitro properties, and evaluation of the effects of nanocarrier geometry on intracellular uptake. / text
3

Investigation of a roll-to-roll nanoimprinting process utilizing inkjet based resist deposition

Kincaid, Matthew Michael 08 February 2012 (has links)
A high-speed, large-area technique capable of nanopatterning flexible substrates is highly desirable in several applications such as; 1) thin film photovoltaics (TFPV's), 2) flexible electronics, 3) optoelectronics, 4) energy storage devices and 5) biological applications. Flexible substrates are attractive as they can be lower in cost than traditional substrates, and provide the ability to perform continuous processing both of which are valuable for cost sensitive applications such as TFPVs. Also, flexible substrates can conform to non-planar surfaces and therefore provide versatility in applications such as wearable electronics and biomedical devices. In this thesis, a patterning approach known as Jet and Flash Imprint Lithography (J-FIL) is explored for flexible substrates. J-FIL uses inkjets to deposit low-viscosity UV curable polymer materials (resists) that are molded by a template at room temperature and low pressures prior to UV cross-linking. There are inherent advantages to the J-FIL process that lends itself to patterning flexible substrates. The room temperature and low pressure process makes it more compatible with flexible substrates which tend to become dimensionally unstable at elevated temperatures and pressure. The extension of J-FIL to flexible substrates involves the following key challenges: (i) Understanding the level of precision required in roll-to-roll machine systems to ensure that these systems can facilitate imprint and separation of nano-scale features; (ii) The substrate surface should be controlled to initiate and maintain proper interface with the template and avoid formation of bubbles; (iii) The tension in the film should be controlled to ensure that the discrete resist drops are coerced to form a uniform contiguous residual film underneath the patterns; (iv) The fluid filling time - that is representative of the process throughput - should be low; and (v) After UV curing and separation, the nanoscale patterns should not be deformed or damaged. The above challenges were addressed by developing a roll-to-roll test bed to imprint flexible polycarbonate films using the J-FIL process. The test bed has the capability of controllably varying a number of web tension parameters as well as process variables in order to calibrate machine precision and establish control schemes for a robust process. Process metrics such as RLT uniformity, target RLT accuracy, feature filling and feature distortion were measured and quantified. A design of experiments was performed on the test bed for the purposes tuning the process variables as well as developing a model of process performance, with respect to critical process parameters. A two-level design, with three input variables, is utilized in this experimental process. The process yielded blank imprints with mean thickness of 70.5 nm, and a standard deviation of 3.9 nm. The sensitivity of the mean thickness and uniformity to process variables were quantified. The best performing set of input parameters were then used during patterned imprints, to determine if any pattern filling issues or pattern deformation would take place. The patterned imprints, made up of an array of hexagonal pillars (125nm tall, by 240 nm wide, by 450 nm pitch) showed no sign of fluid filling voids, or deformation due to separation. Given this result, the feasibility of implementing J-FIL on a roll-to-roll prototype system was established. A proposed next generation flexible substrate J-FIL tool is presented, along with the expected challenges associated with metrology and dynamic noise. Future work entails the design and qualification of a full scale roll-based imprint tool, capable of meeting throughput metrics established for industrial applications. / text
4

Materials development for step and flash imprint lithography

Jacobsson, Borje Michael 23 September 2011 (has links)
The quest for smaller and faster integrated circuits (ICs) continues, but traditional photolithography, the patterning process used to fabricate them, is rapidly approaching its physical limits. Step and Flash Imprint Lithography (S-FIL®) is a low-cost patterning technique which has shown great potential for next generation semiconductor manufacturing. To date, all methods of imprint lithography have utilized a sacrificial resist to produce device features. Our goal has been to develop functional materials such as insulators that can be directly patterned by S-FIL and then remain as a part of the end product. Directly patternable dielectric (DPD) materials must meet multiple mechanical and physical requirements for application in microelectronic devices. In some cases these requirements are conflicting, which leads to material design challenges. Many different materials and curing methods have been evaluated. Thiol-ene based approaches to patterning hyperbranched materials incorporating Polyhedral Oligomeric Silsesquioxanes (POSS) have shown the greatest promise. Thiol-ene polymerization takes place by a free radical mechanism, but it has the advantage over acrylates of not being inhibited by the presence of oxygen. This greatly eases some engineering design challenges for the S-FIL process. A number of thiol-ene formulations have been prepared and their mechanical and electrical properties evaluated. SFIL-R has been introduced as an alternative technology to SFIL. SFIL-R offers improvements to SFIL in several ways, but requires a high silicon content, low viscosity, planarizing material. Photopolymerizable branched siloxanes were synthesized and evaluated to function as a planarizing topcoat for this technology. Both SFIL and SFIL-R require a clean separation of the template from the resist material. Fouling of templates is a major concern in imprint lithography and fluorinated materials are used to treat templates to lower their surface energy for better separation. It has been observed that the template treatment degrades over time and needs to be replaced for further imprinting. A fluorinated silazane was designed to repair the degraded areas. This material was evaluated and functions as designed. / text
5

Multiscale modeling using goal-oriented adaptivity and numerical homogenization

Jhurani, Chetan Kumar 16 October 2009 (has links)
Modeling of engineering objects with complex heterogeneous material structure at nanoscale level has emerged as an important research problem. In this research, we are interested in multiscale modeling and analysis of mechanical properties of the polymer structures created in the Step and Flash Imprint Lithography (SFIL) process. SFIL is a novel imprint lithography process designed to transfer circuit patterns for fabricating microchips in low-pressure and room-temperature environments. Since the smallest features in SFIL are only a few molecules across, approximating them as a continuum is not completely accurate. Previous research in this subject has dealt with coupling discrete models with continuum hyperelasticity models. The modeling of the post-polymerization step in SFIL involves computing solutions of large nonlinear energy minimization problems with fast spatial variation in material properties. An equilibrium configuration is found by minimizing the energy of this heterogeneous polymeric lattice. Numerical solution of such a molecular statics base model, which is assumed to describe the microstructure completely, is computationally very expensive. This is due to the problem size – on the order of millions of degrees of freedom (DOFs). Rapid variation in material properties, ill-conditioning, nonlinearity, and non-convexity make this problem even more challenging to solve. We devise a method for efficient approximation of the solution. Combining numerical homogenization, adaptive finite element meshes, and goaloriented error estimation, we develop a black-box method for efficient solution of problems with multiple spatial scales. The purpose of this homogenization method is to reduce the number of DOFs, find locally optimal effective material properties, and do goal-oriented mesh refinement. In addition, it smoothes the energy landscape. Traditionally, a finite element mesh is designed after obtaining material properties in different regions. The mesh has to resolve material discontinuities and rapid variations. In our approach, however, we generate a sequence of coarse meshes (possibly 1-irregular), and homogenize material properties on each coarse mesh element using a locally posed constrained convex quadratic optimization problem. This upscaling is done using Moore-Penrose pseudoinverse of the linearized fine-scale element stiffness matrices, and a material independent interpolation operator. This requires solution of a continuous-time Lyapunov equation on each element. Using the adjoint solution, we compute local error estimates in the quantity of interest. The error estimates also drive the automatic mesh adaptivity algorithm. The results show that this method uses orders of magnitude fewer degrees of freedom to give fast and approximate solutions of the original fine-scale problem. Critical to the computational speed of local homogenization is computing Moore-Penrose pseudoinverse of rank-deficient matrices without using Singular Value Decomposition. To this end, we use four algorithms, each having different desirable features. The algorithms are based on Tikhonov regularization, sparse QR factorization, a priori knowledge of the null-space of the matrix, and iterative methods based on proper splittings of matrices. These algorithms can exploit sparsity and thus are fast. Although the homogenization method is designed with a specific molecular statics problem in mind, it is a general method applicable for problems with a given fine mesh that sufficiently resolves the fine-scale material properties. We verify the method using a conductivity problem in 2-D, with chessboard like thermal conductivity pattern, which has a known homogenized conductivity. We analyze other aspects of the homogenization method, for example the choice of norm in which we measure local error, optimum coarse mesh element size for homogenizing SFIL lattices, and the effect of the method chosen for computing the pseudoinverse. / text
6

Materials and processes for advanced lithography applications

Jen, Wei-Lun Kane 25 January 2011 (has links)
Step and Flash Imprint Lithography (S-FIL) is a high resolution, next-generation lithography technique that uses an ambient temperature and low pressure process to replicate high resolution images in a UV-curable liquid material. Application of the S-FIL process in conjunction with multi-level imprint templates and new imprint materials enables one S-FIL step to reproduce the same structures that require two photolithography steps, thereby greatly reducing the number of patterning steps required for the copper, dual damascene process used to fabricate interconnect wirings in modern integrated circuits. Two approaches were explored for the implementation of S-FIL in the dual damascene process: sacrificial imprint materials and imprintable dielectric materials. Sacrificial imprint materials function as a pattern recording medium during S-FIL and a three-dimensional etch mask during the dielectric substrate etch, enabling the simultaneous patterning of both the via and metal structures in the dielectric substrate. Development of sacrificial imprint materials and the associated imprint and etch processes are described. Application of S-FIL and the sacrificial imprint material in a commercial copper dual damascene process successfully produced functional copper interconnect structures, demonstrating the feasibility of integrating multi-level S-FIL in the copper dual damascene process. Imprintable dielectric materials are designed to combine the multi-level patterning capability of S-FIL with novel dielectric precursor materials, enabling the simultaneous deposition and patterning of the interlayer dielectric material. Several candidate imprintable dielectric materials were evaluated: sol-gel, polyhedral oligomeric silsesquioxane (POSS) epoxide, POSS acrylate, POSS azide, and POSS thiol. POSS thiol shows the most promise as functional imprintable dielectric material, although additional work in the POSS thiol formulation and viscous dispense process are needed to produce functional interconnect structures. Integration of S-FIL with imprintable dielectric materials would enable further streamlining of the dual damascene fabrication process. The fabrication of electronic devices on flexible substrates represents an opportunity for the development of macroelectronics such as flexible displays and large area devices. Traditional optical lithography encounters alignment and overlay limitations when applied on flexible substrates. A thermally activated, dual-tone photoresist system and its associated etch process were developed to enable the simultaneous patterning of two device layers on a flexible substrate. / text
7

Fluid management in immersion and imprint microlithography

Bassett, Derek William 31 January 2011 (has links)
The important roles of fluid dynamics in immersion lithography (IL) and step-and-flash imprint lithography (S FIL) are analyzed experimentally and theoretically. In IL there are many challenges with managing a fluid droplet between the lens and the wafer, including preventing separation of the fluid droplet from the lens and deposition of small droplets behind the lens. Fluid management is also critical in S FIL because the imprint fluid creates capillary and lubrication forces, both of which are primarily responsible for the dynamics of the template and fluid motion. The fluid flow and shape of the wafer determine how uniform the gap height between the wafer and the template is, and they affect the resistance during the alignment phase. IL was investigated as a methodology to improve laser lithography for making photomasks. The fluid flow in IL was investigated by building a test apparatus to simulate the motion of the fluid droplet during microlithographic production, and using this apparatus to conduct experiments on various immersion fluids and wafer topcoats to determine what instabilities would occur. A theoretical model was used to predict the fluid separation instabilities. Finite element simulations were also used to model the fluid droplet, and these simulations accurately predict the fluid instabilities and quantitatively agreed with the model and experiments. It is shown that the process is viable: capillary forces are sufficient to keep the fluid droplet stable, heating effects due to the laser are negligible, and other concerns such as evaporation and dissolution are manageable. Euler beam theory and the lubrication equation were used to model the bending of an S FIL template and the flow of the fluid between the template and a non-flat wafer. The template filling time, conformance of the template to the wafer, and the alignment phase are investigated with an analytical model and finite element simulations. Analysis and simulations show that uniformity of the residual film thickness and ease of proper alignment depend greatly on the planarity of the wafer, the properties of the template, and the surface tension of the fluid. / text
8

Imprint lithography and characterization of photosensitive polymers for advanced microelectronics packaging

Rajarathinam, Venmathy 23 June 2010 (has links)
To enable fast and reliable processors, advances must be made in the interconnections on the printed circuit board and in the interconnections from the chip to the printed circuit board. Processing techniques have been demonstrated to fabricate a copper-clad encapsulated air dielectric layer to enable low loss off-chip electrical signal lines using sacrificial polymers and the three dimensional patterning capabilities of imprint lithography. The inclusion of an air gap can eliminate the dielectric loss allowing the signal to propagate over longer lengths. Additionally, the low dielectric constant of air lowers the loss contributions from the conductor and increases the signal propagation velocity reducing delay. The metal shielding could minimize the crosstalk noise and radiation losses that are significant at high frequencies. The three dimensional patterning capabilities of imprint lithography fabricated curved structures and rounded terminations which can reduce reflections at discontinuities. Furthermore, imprint lithography also created planarized surfaces which simplified the buildup process. Since imprint lithography, only uses temperature and pressure to make a pattern it is an inexpensive and simple process advancement. The metal-clad encapsulated air dielectric structures were fabricated in a comparable number of registration steps to traditional transmission lines. Implementation of all copper chip to substrate interconnects would provide high conductivity electrical connections, resistance to electromigration while avoiding formation of brittle intermetallics. High aspect ratio polymer molds for copper electroplating interconnects could enable improved integrated circuit electrical performance. The properties of a new aqueous base develop, negative-tone photosensitive polynorbornene polymer have been characterized to develop mechanically compliant all copper connections between the chip and printed circuit board. High aspect ratio features of 7:1 (height:width) were produced in 70 ìm thick films in a single coat with straight side-wall profiles and high fidelity. The polymer films studied had a contrast of 11.6 and a low absorption coefficient. To evaluate the polymer's suitability to microelectronics applications, epoxy cross-linking reactions were studied as a function of processing condition through Fourier transform infrared spectroscopy, nano-indentation, and dielectric measurements. The fully cross-linked films had an elastic modulus of 2.9 GPa and hardness of 0.18 GPa which can improve the mechanical compliance of the copper interconnections. A photo-imprint lithography process was developed to improve the photo-patterning of the polynorbornene polymer for high aspect ratio hollow structures. A shallow photo-imprint stamp was developed to physically displace material in the polymer core. Since the imprint stamp displaces material in the area of the feature, the effective film thickness is reduced compared to the bulk film. The reduction in film height reduced the effects of scattering in the core and also facilitated transport of developer within the core. The photo-imprint lithography process resulted in high aspect ratio hollow core pillars that exceeded optical resolution capabilities for comparable feature sizes.
9

Studies of nontraditional high resolution thin film patterning techniques

Collister, Elizabeth Ann 06 August 2012 (has links)
This thesis discusses two patterning techniques: Step and Flash Imprint Lithography, a nanoimprint technique, and patterning thin films utilizing electrohydrodynamic instabilities. Step and Flash Imprint Lithography, SFIL, is promising alternative approach to photolithography. SFIL replicates the relief pattern of a template in a photocurable liquid that has been dispensed on a substrate. The pattern is then crosslinked when the photocurable liquid is exposed to UV light through the template. In order to study the volume change in the created features upon exposure, a stochastic mesoscale model was formulated. This model allows the study of the possibility of defects forming, from under cured etch barrier, or particle contamination of the template. The results showed large defects should not occur regularly until the minimum feature size is below 3 nanometers. The mesoscale model proved to computationally intensive to simulate features of engineering interest. A base multiscale model was formulated to simulate the effects of the densification of the photocurable liquid as well as the effects of the polymerization on the feature integrity. The multiscale model combines a continuum model (compressible Mooney-Rivlin) coupled to the mesoscale code using the Arlequin method. The multiscale model lays the framework that may be adapted to the study of other SFIL processes like template release. Patterning thin films utilizing electrohydrodynamic instabilities allows for the creation of periodic arrays of pillar like features. These pillars form due to the electric field destabilizing the thin film. Prior work has focused on utilizing polymeric films heated above their glass transition temperatures. In order to decrease the process time in the pillar formation process, work was done to study photocurable systems. The systems which proved favorable to the pillar creation process were the thiol-ene system as well as the maleimide systems. Further work was done on controlling the packing and ordering of the formed pillar arrays by using patterned templates. The result of these studies is that control was only able to be achieved to the third generation of pillars formed due to the inability to fully control the gap over the entire active area. / text
10

Enabling scalability of Bio J-FIL process using intermediate adhesive layers in fabricating PEGDA based nanocarriers

Marshall, Kervin Scott 01 November 2013 (has links)
The Bio J-FIL process has been demonstrated to be a viable method for manufacturing nanoscale, polymeric drug carriers. The process allows for precise control of the size and shape of the drug carriers. While the original process is sufficient for research scale projects, reliability issues have prevented it from being scalable to levels that could potentially be used for mass-production of the drug carriers. In this thesis, a detailed root cause analysis has been conducted to determine the cause of the reliability issues limiting the Bio JFIL process. A series of experiments with varying substrate and imprint fluid combinations were conducted to pinpoint the cause of imprint failure in the Bio J-FIL process. Upon determining the cause of failure, an alternative imprint process was investigated that sought to increase the variety of materials used in the process by utilizing an intermediary layer. This process is referred to in this thesis as the Bio JFIL-I process. The results using Bio JFIL-I indicated increased reliability over the standard Bio J-FIL process. Further refinement of the Bio JFIL-I process could also address additional issues with the Bio J-FIL process unrelated to process reliability. The Bio JFIL-I approach presented in this thesis is complementary to other approaches that have been recently pursued in the literature which are discussed in the thesis. / text

Page generated in 0.0609 seconds