• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 5
  • Tagged with
  • 5
  • 5
  • 5
  • 5
  • 5
  • 5
  • 5
  • 5
  • 5
  • 3
  • 3
  • 3
  • 3
  • 3
  • 3
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

Epitaxy and characterization of SiGeC layers grown by reduced pressure chemical vapor deposition

Hållstedt, Julius January 2004 (has links)
<p>Heteroepitaxial SiGeC layers have attracted immenseattention as a material for high frequency devices duringrecent years. The unique properties of integrating carbon inSiGe are the additional freedom for strain and bandgapengineering as well as allowing more aggressive device designdue to the potential for increased thermal budget duringprocessing. This work presents different issues on epitaxialgrowth, defect density, dopant incorporation and electricalproperties of SiGeC epitaxial layers, intended for variousdevice applications.</p><p>Non-selective and selective epitaxial growth of Si<sub>1-x-y</sub>Ge<sub>x</sub>C<sub>y</sub>(0≤x≤30, ≤y≤0.02) layershave been optimized by using high-resolution x-ray reciprocallattice mapping. The incorporation of carbon into the SiGematrix was shown to be strongly sensitive to the growthparameters. As a consequence, a much smaller epitaxial processwindow compared to SiGe epitaxy was obtained. Differentsolutions to decrease the substrate pattern dependency (loadingeffect) of SiGeC growth have also been proposed. The key pointin these methods is based on reduction of surface migration ofthe adsorbed species on the oxide. In non-selective epitaxy,this was achieved by introducing a thin silicon polycrystallineseed layer on the oxide. The thickness of this seed layer had acrucial role on both the global and local loading effect, andon the epitaxial quality. Meanwhile, in selective epitaxy,polycrystalline stripes introduced around the oxide openingsact as migration barriers and reduce the loading effecteffectively. Chemical mechanical polishing (CMP) was performedto remove the polycrystalline stripes on the oxide.</p><p>Incorporation and electrical properties of boron-doped Si<sub>1-x-y</sub>Ge<sub>x</sub>C<sub>y</sub>layers (x=0.23 and 0.28 with y=0 and 0.005) with aboron concentration in the range of 3x10<sup>18</sup>-1x10<sup>21</sup>atoms/cm3 have also been investigated. In SiGeClayers, the active boron concentration was obtained from thestrain compensation. It was also found that the boron atomshave a tendency to locate at substitutional sites morepreferentially compared to carbon. These findings led to anestimation of the Hall scattering factor of the SiGeC layers,which showed good agreement with theoretical calculations.</p><p><b>Keywords:</b>Silicon germanium carbon (SiGeC), Epitaxy,Chemical vapor deposition (CVD), Loading effect, Highresolution x-ray diffraction (HRXRD), Hall measurements, Atomicforce microscopy (AFM).</p>
2

Epitaxy and characterization of SiGeC layers grown by reduced pressure chemical vapor deposition

Hållstedt, Julius January 2004 (has links)
Heteroepitaxial SiGeC layers have attracted immenseattention as a material for high frequency devices duringrecent years. The unique properties of integrating carbon inSiGe are the additional freedom for strain and bandgapengineering as well as allowing more aggressive device designdue to the potential for increased thermal budget duringprocessing. This work presents different issues on epitaxialgrowth, defect density, dopant incorporation and electricalproperties of SiGeC epitaxial layers, intended for variousdevice applications. Non-selective and selective epitaxial growth of Si1-x-yGexCy(0≤x≤30, ≤y≤0.02) layershave been optimized by using high-resolution x-ray reciprocallattice mapping. The incorporation of carbon into the SiGematrix was shown to be strongly sensitive to the growthparameters. As a consequence, a much smaller epitaxial processwindow compared to SiGe epitaxy was obtained. Differentsolutions to decrease the substrate pattern dependency (loadingeffect) of SiGeC growth have also been proposed. The key pointin these methods is based on reduction of surface migration ofthe adsorbed species on the oxide. In non-selective epitaxy,this was achieved by introducing a thin silicon polycrystallineseed layer on the oxide. The thickness of this seed layer had acrucial role on both the global and local loading effect, andon the epitaxial quality. Meanwhile, in selective epitaxy,polycrystalline stripes introduced around the oxide openingsact as migration barriers and reduce the loading effecteffectively. Chemical mechanical polishing (CMP) was performedto remove the polycrystalline stripes on the oxide. Incorporation and electrical properties of boron-doped Si1-x-yGexCylayers (x=0.23 and 0.28 with y=0 and 0.005) with aboron concentration in the range of 3x1018-1x1021atoms/cm3 have also been investigated. In SiGeClayers, the active boron concentration was obtained from thestrain compensation. It was also found that the boron atomshave a tendency to locate at substitutional sites morepreferentially compared to carbon. These findings led to anestimation of the Hall scattering factor of the SiGeC layers,which showed good agreement with theoretical calculations. Keywords:Silicon germanium carbon (SiGeC), Epitaxy,Chemical vapor deposition (CVD), Loading effect, Highresolution x-ray diffraction (HRXRD), Hall measurements, Atomicforce microscopy (AFM).
3

Device design and process integration for SiGeC and Si/SOI bipolar transistors

Haralson, Erik January 2004 (has links)
SiGe is a significant enabling technology for therealization of integrated circuits used in high performanceoptical networks and radio frequency applications. In order tocontinue to fulfill the demands for these applications, newmaterials and device structures are needed. This thesis focuseson new materials and their integration into heterojunctionbipolar transistor (HBT) structures as well as using devicesimulations to optimize and better understand the deviceoperation. Specifically, a SiGeC HBT platform was designed,fabricated, and electrically characterized. The platformfeatures a non-selectively grown epitaxial SiGeC base,in situdoped polysilicon emitter, nickel silicide,LOCOS isolation, and a minimum emitter width of 0.4 μm.Alternately, a selective epitaxy growth in an oxide window wasused to form the collector and isolation regions. Thetransistors exhibited cutoff frequency (fT) and maximum frequency of oscillation (fMAX) of 40-80 GHz and 15-45 GHz, respectively.Lateral design rules allowed the investigation of behavior suchas transient enhanced diffusion, leakage current, and theinfluence of parasitics such as base resistance and CBC. The formation of nickel silicide on polysiliconSiGe and SiGeC films was also investigated. The formation ofthe low resistivity monosilicide phase was shown to occur athigher temperatures on SiGeC than on SiGe. The stability of themonosilicide was also shown to improve for SiGeC. Nickelsilicide was then integrated into a SiGeC HBT featuring aselectively grown collector. A novel, fully silicided extrinsicbase contact was demonstrated along with the simultaneousformation of NiSi on thein situdoped polysilicon emitter. High-resolution x-ray diffraction (HRXRD) was used toinvestigate the growth and stability of SiGeC base layers forHBT integration. HRXRD proved to be an effective, fast,non-destructive tool for monitoring carbon out-diffusion due tothe dopant activation anneal for different temperatures as wellas for inline process monitoring of epitaxial growth of SiGeClayers. The stability of the SiGe layer with 0.2-0.4 at% carbonwhen subjected to dopant activation anneals ranging from1020-1100&amp;#176C was analyzed by reciprocal lattice mapping.It was found that as the substitutional carbon increases theformation of boron clusters due to diffusion is suppressed, buta higher density of carbon clusters is formed. Device simulations were performed to optimize the DC and HFperformance of an advanced SiGeC HBT structure with low baseresistance and small dimension emitter widths. The selectivelyimplanted collector (SIC) was studied using a design ofexperiments (DOE) method. For small dimensions the lateralimplantation straggle has a significant influence on the SICprofile (width). A significant influence of the SIC width onthe DC gain was observed. The optimized structure showedbalanced fT/fMAXvalues of 200+ GHz. Finally, SOI BJT transistorswith deep trench isolation were fabricated in a 0.25μmBiCMOS process and self-heating effects were characterized andcompared to transistors on bulk silicon featuring deep trenchand shallow trench isolation. Device simulations based on SEMcross-sections and SIMS data were performed and the resultscompared to the fabricated transistors. Key words:Silicon-Germanium(SiGe), SiGeC,heterojunction bipolar transistor(HBT), nickel silicide,selectively implanted collector(SIC), device simulation, SiGeClayer stability, high resolution x-ray diffraction(HRXRD),silicon-on-insulator(SOI), self-heating.
4

Device design and process integration for SiGeC and Si/SOI bipolar transistors

Haralson, Erik January 2004 (has links)
<p>SiGe is a significant enabling technology for therealization of integrated circuits used in high performanceoptical networks and radio frequency applications. In order tocontinue to fulfill the demands for these applications, newmaterials and device structures are needed. This thesis focuseson new materials and their integration into heterojunctionbipolar transistor (HBT) structures as well as using devicesimulations to optimize and better understand the deviceoperation. Specifically, a SiGeC HBT platform was designed,fabricated, and electrically characterized. The platformfeatures a non-selectively grown epitaxial SiGeC base,<i>in situ</i>doped polysilicon emitter, nickel silicide,LOCOS isolation, and a minimum emitter width of 0.4 μm.Alternately, a selective epitaxy growth in an oxide window wasused to form the collector and isolation regions. Thetransistors exhibited cutoff frequency (f<sub>T</sub>) and maximum frequency of oscillation (f<sub>MAX</sub>) of 40-80 GHz and 15-45 GHz, respectively.Lateral design rules allowed the investigation of behavior suchas transient enhanced diffusion, leakage current, and theinfluence of parasitics such as base resistance and C<sub>BC</sub>. The formation of nickel silicide on polysiliconSiGe and SiGeC films was also investigated. The formation ofthe low resistivity monosilicide phase was shown to occur athigher temperatures on SiGeC than on SiGe. The stability of themonosilicide was also shown to improve for SiGeC. Nickelsilicide was then integrated into a SiGeC HBT featuring aselectively grown collector. A novel, fully silicided extrinsicbase contact was demonstrated along with the simultaneousformation of NiSi on the<i>in situ</i>doped polysilicon emitter.</p><p>High-resolution x-ray diffraction (HRXRD) was used toinvestigate the growth and stability of SiGeC base layers forHBT integration. HRXRD proved to be an effective, fast,non-destructive tool for monitoring carbon out-diffusion due tothe dopant activation anneal for different temperatures as wellas for inline process monitoring of epitaxial growth of SiGeClayers. The stability of the SiGe layer with 0.2-0.4 at% carbonwhen subjected to dopant activation anneals ranging from1020-1100&#176C was analyzed by reciprocal lattice mapping.It was found that as the substitutional carbon increases theformation of boron clusters due to diffusion is suppressed, buta higher density of carbon clusters is formed.</p><p>Device simulations were performed to optimize the DC and HFperformance of an advanced SiGeC HBT structure with low baseresistance and small dimension emitter widths. The selectivelyimplanted collector (SIC) was studied using a design ofexperiments (DOE) method. For small dimensions the lateralimplantation straggle has a significant influence on the SICprofile (width). A significant influence of the SIC width onthe DC gain was observed. The optimized structure showedbalanced f<sub>T</sub>/f<sub>MAX</sub>values of 200+ GHz. Finally, SOI BJT transistorswith deep trench isolation were fabricated in a 0.25μmBiCMOS process and self-heating effects were characterized andcompared to transistors on bulk silicon featuring deep trenchand shallow trench isolation. Device simulations based on SEMcross-sections and SIMS data were performed and the resultscompared to the fabricated transistors.</p><p><b>Key words:</b>Silicon-Germanium(SiGe), SiGeC,heterojunction bipolar transistor(HBT), nickel silicide,selectively implanted collector(SIC), device simulation, SiGeClayer stability, high resolution x-ray diffraction(HRXRD),silicon-on-insulator(SOI), self-heating.</p>
5

Application of SiGe(C) in high performance MOSFETs and infrared detectors

Kolahdouz Esfahani, Mohammadreza January 2011 (has links)
Epitaxially grown SiGe(C) materials have a great importance for many device applications. In these applications, (strained or relaxed) SiGe(C) layers are grown either selectively on the active areas, or on the entire wafer. Epitaxy is a sensitive step in the device processing and choosing an appropriate thermal budget is crucial to avoid the dopant out–diffusion and strain relaxation. Strain is important for bandgap engineering in (SiGe/Si) heterostructures, and to increase the mobility of the carriers. An example for the latter application is implementing SiGe as the biaxially strained channel layer or in recessed source/drain (S/D) of pMOSFETs. For this case, SiGe is grown selectively in recessed S/D regions where the Si channel region experiences uniaxial strain.The main focus of this Ph.D. thesis is on developing the first empirical model for selective epitaxial growth of SiGe using SiH2Cl2, GeH4 and HCl precursors in a reduced pressure chemical vapor deposition (RPCVD) reactor. The model describes the growth kinetics and considers the contribution of each gas precursor in the gas–phase and surface reactions. In this way, the growth rate and Ge content of the SiGe layers grown on the patterned substrates can be calculated. The gas flow and temperature distribution were simulated in the CVD reactor and the results were exerted as input parameters for the diffusion of gas molecules through gas boundaries. Fick‟s law and the Langmuir isotherm theory (in non–equilibrium case) have been applied to estimate the real flow of impinging molecules. For a patterned substrate, the interactions between the chips were calculated using an established interaction theory. Overall, a good agreement between this model and the experimental data has been presented. This work provides, for the first time, a guideline for chip manufacturers who are implementing SiGe layers in the devices.The other focus of this thesis is to implement SiGe layers or dots as a thermistor material to detect infrared radiation. The result provides a fundamental understanding of noise sources and thermal response of SiGe/Si multilayer structures. Temperature coefficient of resistance (TCR) and noise voltage have been measured for different detector prototypes in terms of pixel size and multilayer designs. The performance of such structures was studied and optimized as a function of quantum well and Si barrier thickness (or dot size), number of periods in the SiGe/Si stack, Ge content and contact resistance. Both electrical and thermal responses of such detectors were sensitive to the quality of the epitaxial layers which was evaluated by the interfacial roughness and strain amount. The strain in SiGe material was carefully controlled in the meta–stable region by implementingivcarbon in multi quantum wells (MQWs) of SiGe(C)/Si(C). A state of the art thermistor material with TCR of 4.5 %/K for 100×100 μm2 pixel area and low noise constant (K1/f) value of 4.4×10-15 is presented. The outstanding performance of these devices is due to Ni silicide contacts, smooth interfaces, and high quality of multi quantum wells (MQWs) containing high Ge content.The novel idea of generating local strain using Ge multi quantum dots structures has also been studied. Ge dots were deposited at different growth temperatures in order to tune the intermixing of Si into Ge. The structures demonstrated a noise constant of 2×10-9 and TCR of 3.44%/K for pixel area of 70×70 μm2. These structures displayed an improvement in the TCR value compared to quantum well structures; however, strain relaxation and unevenness of the multi layer structures caused low signal–to–noise ratio. In this thesis, the physical importance of different design parameters of IR detectors has been quantified by using a statistical analysis. The factorial method has been applied to evaluate design parameters for IR detection improvements. Among design parameters, increasing the Ge content of SiGe quantum wells has the most significant effect on the measured TCR value. / QC 20110405

Page generated in 0.1304 seconds