• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 8
  • 4
  • Tagged with
  • 36
  • 36
  • 10
  • 7
  • 7
  • 7
  • 5
  • 5
  • 5
  • 5
  • 4
  • 4
  • 4
  • 4
  • 4
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

Shallow geologic framework, geomorphic evolution, and sand resources of a paleo-barrier shoreline, Terrebonne Bay, Louisiana, USA

January 2018 (has links)
acase@tulane.edu / The Louisiana coast is an invaluable asset to the nation's human, economic, and ecological welfare. However, due to the combined effects of coastal erosion, subsidence, and sea level rise, Louisiana is losing on average 25 km2 of its valuable coastal wetlands per year. Terrebonne Bay and the associated Lafourche deltaic lobe headland is a critical section of this coast for wetlands and infrastructure protection and restoration in the State’s Master Plan. Historical imagery and bathymetry clearly show the rapid transgression and erosional degradation of both sets of headland-flanking barrier island shorelines due to wave attack and relative sea level rise in the past 150 y. The focus of the present study is a barrier island system: an ocean-fronting modern- barrier shoreline (Timbalier) and a paleo-deltaic headland barrier arc (Terrebonne) inland of the active barrier. The evolution of the modern barrier arc is closely tied to the shallow geologic framework over which it is transgressing, and specifically the sand re-activation capacity of the antecedent geology once erosional forces are introduced. To understand the evolution of these barrier systems and how to address their protection and re-nourishment, it is important to quantify (1) the depositional facies geometry and (2) the volume of sand in these back-barrier sandy lithosomes. Here we present new observations from CHIRP sub-bottom seismic, multibeam bathymetry, and surface grab and vibracore sampling in an effort to quantify the sediment availability within the underlying geologic framework and reconstruct the geomorphic evolution of these barrier shorelines. Observations of sandy units agree with results from Kulp et al. (2005), who showed the presence and extent of sandy lithofacies within 3 m of the surface proximal to the Raccoon Pass tidal-inlet. We provide evidence to suggest that this sand is an important potential resource for the longevity of proximal sandy barrier islands as transgression continues. / 1 / Daniel Culling
2

Mineralogic Study of Sediments from Nearshore Cat Island, Mississippi

Barnhart, Laura Belle 10 May 2003 (has links)
Cat Island, Mississippi, the westernmost barrier island in Mississippi Sound, demarcates the northeastern extent of the St. Bernard subdelta of the Mississippi River. The unusual ?T? shape of Cat Island is likely the result of reworking of the original shore-parallel island by westward longshore currents post-abandonment of the St. Bernard Delta. XRD analyses performed on Vibracore samples collected from nearshore Cat Island showed quartz sands were common regardless of depth or location. Clays predominated in sediments at depth near the southern tip of the island but were minor in more surficial sediments. Lithologically, surficial sediments from the south and west were quite similar, particularly the decrease in illite/smectite (I/S) abundance, which may reflect westerly reworking of in situ sediments. Analyses indicate this reworking is more effective in changing local lithology than sediment provenance.
3

Shoreline Dynamics and Environmental Change Under the Modern Marine Transgression: St. Catherines Island, Georgia

Meyer, Brian K. 01 August 2013 (has links)
The current study has evaluated shoreline dynamics and environmental change at St. Catherines Island, Georgia, with attention to the two major controls of barrier island formation and modification processes. These major controls include the increase in accommodation space, or the rate of sea level rise for the Georgia Bight which has remained constant in 20th and 21st century tide gauge data and dynamically changing rates of sediment supply based on anthropogenic modifications to land cover (Trimble, 1974) that are reflected in sediment transport (McCarney-Castle et al., 2010). Vibracoring and radiocarbon data provided valuable insights into the stratigraphy and development of St. Catherines Island. A stratigraphic model has been developed for the sediments associated with the Late Holocene accretional terrains where multiple small scale fluctuations in sea level have resulted in the formation of a sedimentary veneer punctuated with transgressive surfaces and regressive sequences. A working model for an interpolated Late Holocene sea level curve has been constructed using direct evidence from vibracore data as constraining points and indirect evidence from other regional sea level studies to provide additional structure. The relationship between the timing of the regressions versus periods of beach ridge formation and implications from the current shoreline dynamics study regarding the role of sediment supply complement each other. The ages of beach ridge formation strongly correlate to periods that are associated with regressions in sea level based on the sedimentary record and an evaluation of Late Holocene sea level conditions. The evaluation of anthropogenic modifications to the rate of sediment supply performed under the current study indicates that in spite of significant changes in sediment flux rates of +300% (pre-dam era) and -20% (post-dam era), shoreline retreat was continuous during the study period with an acceleration noted in the rates of shoreline retreat associated with spit and berm landforms during the post-dam or modern era. The two associations indicate strongly that the rate of sediment supply plays a secondary role to the major control of the rate of sea level rise in the formation and modification processes at St. Catherines Island.
4

Shoreline Dynamics and Environmental Change Under the Modern Marine Transgression: St. Catherines Island, Georgia

Meyer, Brian K. 01 August 2013 (has links)
The current study has evaluated shoreline dynamics and environmental change at St. Catherines Island, Georgia, with attention to the two major controls of barrier island formation and modification processes. These major controls include the increase in accommodation space, or the rate of sea level rise for the Georgia Bight which has remained constant in 20th and 21st century tide gauge data and dynamically changing rates of sediment supply based on anthropogenic modifications to land cover (Trimble, 1974) that are reflected in sediment transport (McCarney-Castle et al., 2010). Vibracoring and radiocarbon data provided valuable insights into the stratigraphy and development of St. Catherines Island. A stratigraphic model has been developed for the sediments associated with the Late Holocene accretional terrains where multiple small scale fluctuations in sea level have resulted in the formation of a sedimentary veneer punctuated with transgressive surfaces and regressive sequences. A working model for an interpolated Late Holocene sea level curve has been constructed using direct evidence from vibracore data as constraining points and indirect evidence from other regional sea level studies to provide additional structure. The relationship between the timing of the regressions versus periods of beach ridge formation and implications from the current shoreline dynamics study regarding the role of sediment supply complement each other. The ages of beach ridge formation strongly correlate to periods that are associated with regressions in sea level based on the sedimentary record and an evaluation of Late Holocene sea level conditions. The evaluation of anthropogenic modifications to the rate of sediment supply performed under the current study indicates that in spite of significant changes in sediment flux rates of +300% (pre-dam era) and -20% (post-dam era), shoreline retreat was continuous during the study period with an acceleration noted in the rates of shoreline retreat associated with spit and berm landforms during the post-dam or modern era. The two associations indicate strongly that the rate of sediment supply plays a secondary role to the major control of the rate of sea level rise in the formation and modification processes at St. Catherines Island.
5

High-resolution multi-temporal analysis of geomorphic change on the Sandy Pond Spit, eastern shore of Lake Ontario, NY

Kopp, Megan A January 2022 (has links)
Thesis advisor: Noah P. Snyder / Multi-temporal elevation (MTE) analysis is used to study topographic changes at specific intervals. Barrier-island complexes are often studied using this MTE analysis to quantify changes to the environment after hurricanes to understand how dynamic landscapes respond to different forcings. The Sandy Pond spit (SPS) is a north-south trending barrier island on the eastern shore of Lake Ontario, New York, which responds dynamically to fluctuations in water levels, ice cover, and storms. Prior research reconstructed the geomorphic history of the SPS from 1878-2013, determining that the most significant factor affecting decadal change is the lake-water elevation. In the summers of 2017 and 2019, anomalously high precipitation and lake levels resulted in increased erosion along the SPS, and flooding in neighboring communities. In this study I used shoreline position, foredune crest position and elevation and volume of deposition and erosion to determine the dominant force of geometric change on the SPS before, during and after the high water events in 2017 and 2019, using the study period 2001-2020. Lidar data and small uncrewed aerial system images are used to generate digital elevation models (DEMs) and DEMs of difference (DoDs) from surveys conducted in May 2001, July 2007, June 2011, October 2015, May 2018, September 2018, July 2020 and August 2020. Results indicated water level was the most significant factor altering the topography of the SPS. Large storm events although erosive, were not as destructive to the shore environment as the long duration elevated summer water levels. From 2001-2015 the shoreline advanced an average of 0.25 m/year. From 2015-2018 and 2018-2020 the shoreline retreated 0.62 m/year, and 3.27 m/year respectively. The foredune position and elevation altered due to erosion of the dune toe caused by wave action and shoreline retreat from 2015-2020 compared to 2001-2015. To study volumetric changes, the SPS was split into seven ecogeomorphic zones that characterize the barrier-spit system at large. From 2007-2015 net deposition was recorded at five of the seven zones when applying a 95% confidence interval. The zones recording erosion were characterized by high dune complexes with sparse vegetation to anchor sand. From 2015-2018 net erosion was recorded in all seven zones, indicating water level had a statistically significant effect on the rate and volume of geomorphic change to this ecosystem. / Thesis (MS) — Boston College, 2022. / Submitted to: Boston College. Graduate School of Arts and Sciences. / Discipline: Earth and Environmental Sciences.
6

Model Development and Monte-Carlo Methods for the Simulation and Analysis of Coastal Impacts of Barrier Island Breach During Hurricanes

Jeffries, Catherine Renae 07 May 2024 (has links)
Barrier islands can protect the mainland from flooding during storms through reduction of storm surge and dissipation of storm generated wave energy. However, the protective capability is reduced when barrier islands breach and a direct hydrodynamic connection between the water bodies on both sides of the barrier island is established. Breaching of barrier islands during large storm events is complicated, involving nonlinear processes that connect water, sediment transport, dune height, and island width among other factors. In order to assess the impacts barrier island breaching has on flooding on the mainland, we modified a storm surge model, GeoClaw, to impose a Gaussian bell-curve on the barrier island that opens during a hurricane simulation and deepened over time. We added a new method of generating storm surge with storm forcing inputs in the form of wind and pressure fields to expand GeoClaw's current utilization of best track information so that storm forcing from planetary boundary layer models can also be utilized in simulations. We created a statistical method to assess the sensitivity of mainland storm-surge to barrier island breaching by randomizing the location, time, and extent of a breach event across the barrier island at Moriches, NY. My results show that total mainland inundation is affected by the changes in location, size, timing and numbers of breaches. Total inundation has a logarithmic relationship with total breach area and breach location is an important predictor of inundation and bay surge. The insights from this study can help prepare shoreline communities for the differing ways that breaching affects the mainland coastline. The model updates created can also allow others to use this framework to study differing regions. Understanding which mainland locations are vulnerable to breaching, planners and coastal engineers can design interventions to reduce the likelihood of a breach occurring in areas adjacent to high flood risk. / Doctor of Philosophy / Storm surge is one of the most deadly and expensive parts of a hurricane. Storm surge can be reduced if a barrier island exists near the mainland coastline. The sand dunes, beach vegetation, and size of the island aid in reducing the waves and storm-surge generated by large storms. When a barrier island breaches it causes a channel of water that connects the ocean and the bay that separates the island from the mainland. This channel of water allows waves and storm surge to be directly pushed into the bay, increasing the storm surge along the mainland coast. Breaching is complex with many factors such as dune size and total island width determining when or where a breach will form. In order to study how breaching affects the mainland during a hurricane, we chose a program that simulates storm surge from a hurricane, made a simple breaching calculation that opens a hole in a sand dune on a barrier island so that the ocean and bay are directly connected and the storm surge can pass through this hole, and enabled the program to use storm data that is pre-computed rather than generated during the simulation. In order to understand how breaching impacts the mainland coast at Moriches, NY, we simulated a hurricane and varied the number, size, and time of different breaches. We learned that total of all breach dimensions has a linear relationship to the amount of coastal flooding up to a threshold, where the breaches cover most of the island. Coastal communities can use the results of this study to determine where to put interventions in place that will reduce the impacts of barrier island breaching, update flood risk maps, and warn community members of changes in their location's risk assessment. Additionally, other regions with barrier islands nearby can use the software updates we created to study their own regions and assess different risk patterns than this study.
7

Morphological Change of a Developed Barrier Island due to Hurricane Forcing

Smallegan, Stephanie Marie 25 April 2016 (has links)
An estimated 10% of the world's population lives in low-lying coastal regions, which are vulnerable to storm surge and waves capable of causing loss of lives and billions of dollars in damage to coastal infrastructure. Among the most vulnerable coastlines are barrier islands, which often act as the first line of defense against storms for the mainland coast. In this dissertation, the physical damage to a developed barrier island (Bay Head, NJ, USA) caused by erosion during Hurricane Sandy (2012) is evaluated using the numerical model, XBeach. Three main objectives of this work are to evaluate the wave-force reducing capabilities of a buried seawall, the effects of bay surge on morphological change and the effectiveness of adaptation strategies to rising sea levels. According to simulation results, a buried seawall located beneath the nourished dunes in Bay Head reduced wave attack by a factor of 1.7 compared to locations without a seawall. The structure also prevented major erosion by blocking bay surge from inundating dunes from the backside, as observed in locations not fronted with a seawall. Altering the timing and magnitude of bay storm surge, the buried seawall continued to protect the island from catastrophic erosion under all conditions except for a substantial increase in bay surge. However, in the absence of a seawall, the morpho- logical response was highly dependent on bay surge levels with respect to ocean side surge. Compared to the damage sustained by the island during Hurricane Sandy, greater erosion was observed on the island for an increase in bay surge magnitude or when peak bay surge occurred after peak ocean surge. Considering sea level rise, which affects bay and ocean surge levels, adaptation strategies were evaluated on the protection afforded to the dune system and backbarrier. Of the sea level rise scenarios and adaptation strategies considered, raising the dune and beach protected the island under moderate rises in sea level, but exacerbated backbarrier erosion for the most extreme scenario. Although an extreme strategy, raising the island is the only option considered that protected the island from catastrophic erosion under low, moderate and extreme sea level rise. / Ph. D.
8

Mechanisms of Native Shrub Encroachment on a Virginia Barrier Island

Thompson, Joseph 01 January 2016 (has links)
Species composition, temperature, soil nutrients, and leaf area index (LAI) were recorded across three encroaching Morella cerifera thicket edges and three free- standing shrubs on Hog Island, Virginia to characterize the effect of shrub thickets on the plant community and microclimate. Electron transport rate (ETR) was taken on shrub leaves to determine if microclimate benefits M. cerifera physiology. Species richness was lowest inside shrub thickets. Soil water content and LAI were higher in shrub thickets compared to grassland. Soil organic matter, N, and C were higher inside shrub thickets. Summer and fall maximum temperatures were more moderate in shrub thickets and at free-standing shrubs. Fall and winter minimum temperatures were higher inside shrub thickets. ETR was higher at the free-standing shrubs compared to the thicket edge. Morella cerifera impacts microclimate characteristics and species composition immediately upon encroachment. Improved shrub physiology was neither supported nor rejected by the research presented here.
9

Geology of the KaNyaka barrier island system, Maputo Bay, Mozambique

05 November 2012 (has links)
M.Sc. / The KaNyaka barrier island system consists of the vegetated KaNyaka and Portuguese Islands, and various partially exposed sandbars. It is situated in south-easternmost Mozambique where it forms a barrier between Maputo Bay in the west and the Indian Ocean in the east. It forms part of the northern most limit of the Maputaland Group, which consists of Pliocene to Holocene sediments. The island system is an example of a compound barrier island since it comprises a stacked succession of several ancient shoreline marine and aeolian sedimentary rock units and sediments, along with various active sedimentary environments. This thesis describe the general geology of the island system, the petrography of the various rock and sedimentary units and provide information on provenance of sediment based on detrital zircon age populations. It also provides several new 14C age dates of shell fossils and calcified rhizoliths. KaNyaka Island consists of two high north-south trending dune cordons along its eastern and western shores, with a low-lying dune-covered area in between. The Western dune cordon consists of aeolian calcareous quartz arenite of the Ridjene Formation unconformably overlain by calcified aeolian dunes of the Alto Pocuane Formation in turn overlain by the unlithified red dunes of the Barreira Vermelha Formation. The core of the Eastern dune cordon consists of the calcareous quartz arenite of the Cabo Inhaca Formation comprised of stacked calcified aeolian dunes, the marine and tidal deposits of the Ponta Mazondue and Ponta Torres formations form the eastern and south-western flanks of the Eastern dune cordon. The formations are overlain by unlithified red to orange sands of the Changana Formation and partially lithified light grey to yellow sands of the Muamuluago Formation. The area between these two dune cordons is covered by low-lying aeolian dunes of the Alto Chumine Formation, ancient sandbar and beach deposits of the Chunhe Formation and both modern and paleo-intertidal flat deposits. Modern sedimentary environments include high-energy beaches, intertidal flats, sand spits, sandbars and aeolian dunes. The presence of aeolian deposits extending below the present sea-level, and marine deposits up to 3m above it, indicates that the KaNyaka barrier island system records a long history of sea-level change. 14C dating of marine and freshwater shell fossils and a rhizolith collected from several of the formations on the KaNyaka barrier island system assist in defining the history of the island with reference to sea-level fluctuations. Results from two freshwater shell fossils in the aeolian Alto Pocuane and Cabo Inhaca Formations indicate that they were deposited and subsequently calcified 47 000 and 30 000 years ago respectively, at times when the sea-level was 40 - 60 m lower than at present. The red sand of the Barreira Vermelha and Changana formation overlying these calcified dunes are suggested to have formed when climate was drier and sea-level even lower, during the Last Glacial Maximum (LGM) around 17 000 years ago. 14C ages on formations overlying the red sand deposits all postdate the Last Glacial Maximum and record sediment deposition of the past 7 000 years when the sea-level was either rather similar or somewhat higher than the present. Detrital zircon age populations, determined by laser ablation inductively coupled mass spectrometry (LA-ICP-MS), indicate that the majority of the sediment building the barrier island system was derived from the Grenvillian (~1100 Ma) and the Pan-African (~500 Ma) orogenic belts situated along the eastern side of Africa. Sediment was probably transported from exposed rock successions of these belts by large rivers like the Zambezi in the north and the Tugela in the south. The sediment was then dispersed along the coast by the south flowing Agulhas Current and northward directed long-shore current. Rivers feeding into Maputo Bay, like the Phongola, Umbeluzi and Incomati, draining the Kaapvaal Craton and Karoo Supergroup provided very little sediment to the barrier island system.
10

Sediment Processes Influencing the Coastline of Kouchibouguac National Park, New Brunswick

Young, Adam 01 January 2012 (has links)
Parks Canada focuses its tourism and conservation efforts in Kouchibouguac National Park along the park's diverse coastline made up of a variety of sensitive ecosystems including salt marshes, stream estuaries and a shifting barrier island lagoon system. The dynamic sediment processes in the park are not fully understood, making it difficult for Parks Canada to make informed decisions as sea-level rise in the region accelerates. In this study, extensive field data were collected in two sediment zones bordering the Kouchibouguac Lagoon. Stream data were collected and used to estimate the monthly average sediment load entering the lagoon. The maximum and minimum monthly average sediment loads were 130 g/s and 11 g/s in April and September, respectively. These freshwater sediments pass through estuaries to deposit at the coastline of the park. Changes in the barrier system surrounding the tidal inlet Little Gully were also monitored over 15 months using modern surveying techniques. The surveys showed a general southward shift in the study area and a landward migration of sediments within the flood tidal delta of the inlet. Dune surface area, volume, and vegetation cover were also examined, and the critical shear stress and velocity of the sediments were calculated. The field investigations revealed that the Kouchibouguac Lagoon was gradually filling in with sediments during the study. It is recommended that a permanent hydrometric station be installed in one of the major streams in the park and that future research along the coastline of the park complement Parks Canada's current monitoring program for the barrier island system.

Page generated in 0.1339 seconds