• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 4
  • 1
  • Tagged with
  • 5
  • 5
  • 5
  • 4
  • 4
  • 4
  • 4
  • 4
  • 4
  • 4
  • 4
  • 4
  • 4
  • 4
  • 4
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

Study on the Growth and Characterization of Epitaxial Cu2O Thin Films by Magnetron Sputtering

Lin, Chaio-Wei, 30 August 2012 (has links)
Cuprous oxide (Cu2O) was first investigated in the 1920s as a semiconductor material with Eg~2.17 eV. It is ideal for applications in solar cells, electrochromic devices, oxygen and humidity sensors because of its high optical absorption coefficient, non-toxic nature, abundant availability and low cost for production. Many groups have tried different ways to grow the cuprous oxide by, for instance, sputtering, CVD, PLD, MBE, and electro-deposition etc. Among them, the sputtering method is probably the most cost-effective and easy to operate. In this work, the cuprous oxide thin films were grown on R-Al2O3 and (110)-MgO substrates by DC reactive magnetron sputtering. Thin films grown at different temperatures under various oxygen partial pressures were studied by X-ray diffraction (XRD) to test their structural perfections. Samples with the Cu2O on Al2O3(1012) and MgO(110) were studied via measurement of cathodoluminescence(CL) spectroscopy, photoluminescence (PL) spectroscopy, transmission spectroscopy and magneto transport behaviors. The correlation of growth condition and physical properties are discussed.
2

In-situ XPS Investigation of ALD Cu2O and Cu Thin Films after Successive Reduction

Dhakal, Dileep, Waechtler, Thomas, E. Schulz, Stefan, Mothes, Robert, Moeckel, Stefan, Lang, Heinrich, Gessner, Thomas 07 July 2014 (has links) (PDF)
This talk was presented in the 14th International Conference on Atomic Layer Deposition (ALD 2014) in Kyoto, Japan on 18th June 2014. Abstract Atomic Layer Deposition (ALD) is emerging as a ubiquitous method for the deposition of conformal and homogeneous ultra-thin films on complex topographies and large substrates in microelectronics. Electrochemical deposition (ECD) is the first choice for the deposition of copper (Cu) into the trenches and vias of the interconnect system for ULSI circuits. The ECD of Cu necessitates an electrically conductive seed layer for filling the interconnect structures. ALD is now considered as a solution for conformal deposition of Cu seed layers on very high aspect ratio (AR) structures also for technology nodes below 20 nm, since physical vapor deposition is not applicable for structures with high AR. Cu seed layer deposition by the reduction of Cu2O, which has been deposited from the Cu(I) β-diketonate [(nBu3P)2Cu(acac)] (1) used as Cu precursor, has been successfully carried out on different substrates like Ta, TaN, SiO2, and Ru [1, 2]. It was found that the subsequent gas-phase reduction of the Cu2O films can be aided by introducing catalytic amounts of a Ru precursor into the Cu precursor, so that metallic copper films could potentially obtained also on non-catalytic substrates [3, 4]. In this work, in situ X-ray photoelectron spectroscopy (XPS) investigation of the surface chemistry during Cu2O ALD from the mixture of 99 mol % of 1 and 1 mol % of [Ru(η5 C5H4SiMe3)(η5-C7H11)] (2) as ruthenium precursor, and the reduction of Cu2O to metallic Cu by formic acid carried out on SiO2 substrate are demonstrated. Oxidation states of the Cu in the film are identified by comparing the Cu Auger parameter (α) [5] with literature data. α calculated after ALD equals 362.2 eV and after reduction equals 363.8 eV, comparable to the Cu2O and metallic Cu in thin-films [6] respectively. In addition, <10 % of Cu(I), Cu(II), and Cu(OH)2 species are identified from the Cu 2p3/2 and Cu L3VV Auger spectrum after reduction. Consequently, the ALD Cu2O is successfully reduced to metallic copper by in-situ thermal reduction using formic acid. [1] T. Waechtler et al., J. Electrochem. Soc., 156 (6), H453 (2009). [2] T. Waechtler et al., Microelectron. Eng., 88, 684 (2011). [3] S. Mueller et al., Conference Proceedings SCD 2011, Semiconductor Conference Dresden, pp. 1-4. [4] T. Waechtler et al., US Patent Application Publication, US 2013/0062768. [5] C. D. Wagner, Faraday Discuss. Chem. Soc., 60, 291 (1975). [6] J. P. Espinós et al., J. Phys. Chem. B, 106, 6921 (2002).
3

In-situ XPS Investigation of ALD Cu2O and Cu Thin Films after Successive Reduction

Dhakal, Dileep, Waechtler, Thomas, E. Schulz, Stefan, Mothes, Robert, Moeckel, Stefan, Lang, Heinrich, Gessner, Thomas 07 July 2014 (has links)
This talk was presented in the 14th International Conference on Atomic Layer Deposition (ALD 2014) in Kyoto, Japan on 18th June 2014. Abstract Atomic Layer Deposition (ALD) is emerging as a ubiquitous method for the deposition of conformal and homogeneous ultra-thin films on complex topographies and large substrates in microelectronics. Electrochemical deposition (ECD) is the first choice for the deposition of copper (Cu) into the trenches and vias of the interconnect system for ULSI circuits. The ECD of Cu necessitates an electrically conductive seed layer for filling the interconnect structures. ALD is now considered as a solution for conformal deposition of Cu seed layers on very high aspect ratio (AR) structures also for technology nodes below 20 nm, since physical vapor deposition is not applicable for structures with high AR. Cu seed layer deposition by the reduction of Cu2O, which has been deposited from the Cu(I) β-diketonate [(nBu3P)2Cu(acac)] (1) used as Cu precursor, has been successfully carried out on different substrates like Ta, TaN, SiO2, and Ru [1, 2]. It was found that the subsequent gas-phase reduction of the Cu2O films can be aided by introducing catalytic amounts of a Ru precursor into the Cu precursor, so that metallic copper films could potentially obtained also on non-catalytic substrates [3, 4]. In this work, in situ X-ray photoelectron spectroscopy (XPS) investigation of the surface chemistry during Cu2O ALD from the mixture of 99 mol % of 1 and 1 mol % of [Ru(η5 C5H4SiMe3)(η5-C7H11)] (2) as ruthenium precursor, and the reduction of Cu2O to metallic Cu by formic acid carried out on SiO2 substrate are demonstrated. Oxidation states of the Cu in the film are identified by comparing the Cu Auger parameter (α) [5] with literature data. α calculated after ALD equals 362.2 eV and after reduction equals 363.8 eV, comparable to the Cu2O and metallic Cu in thin-films [6] respectively. In addition, <10 % of Cu(I), Cu(II), and Cu(OH)2 species are identified from the Cu 2p3/2 and Cu L3VV Auger spectrum after reduction. Consequently, the ALD Cu2O is successfully reduced to metallic copper by in-situ thermal reduction using formic acid. [1] T. Waechtler et al., J. Electrochem. Soc., 156 (6), H453 (2009). [2] T. Waechtler et al., Microelectron. Eng., 88, 684 (2011). [3] S. Mueller et al., Conference Proceedings SCD 2011, Semiconductor Conference Dresden, pp. 1-4. [4] T. Waechtler et al., US Patent Application Publication, US 2013/0062768. [5] C. D. Wagner, Faraday Discuss. Chem. Soc., 60, 291 (1975). [6] J. P. Espinós et al., J. Phys. Chem. B, 106, 6921 (2002).
4

Growth Monitoring of Ultrathin Copper and Copper Oxide Films Deposited by Atomic Layer Deposition / Untersuchungen zum Wachstum ultradünner Kupfer- und Kupferoxid Schichten mittels Atomlagenabscheidung

Dhakal, Dileep 25 October 2017 (has links) (PDF)
Atomic layer deposition (ALD) of copper films is getting enormous interest. Ultrathin Cu films are applied as the seed layer for electrochemical deposition (ECD) of copper in interconnect circuits and as the non-magnetic material for the realization of giant magnetoresistance (GMR) sensors. Particularly, Co/Cu multi-layered structures require sub 4.0 nm copper film thickness for obtaining strong GMR effects. The physical vapor deposition process for the deposition of the copper seed layers are prone to non-conformal coating and poor step coverage on side-walls and bottoms of trenches and vias, and presence of overhanging structures. This may cause failure of interconnections due to formation of voids after copper ECD. ALD is the most suitable technology for the deposition of conformal seed layers for the subsequent ECD in very high aspect ratio structures, also for the technology nodes below 20 nm. Surface chemistry during the ALD of oxides is quite well studied. However, surface chemistry during the ALD of pure metal is rather immature. This knowledge is necessary to optimize the process parameters, synthesize better precursors systems, and enhance the knowledge of existing metal ALD processes. The major goal of this work is to understand the surface chemistry of the used precursor and study the growth of ultrathin copper films using in-situ X-ray photoelectron spectroscopy (XPS). Copper films are deposited by ALD using the precursor mixture consisting of 99 mol% [(nBu3P)2Cu(acac)], as copper precursor and 1 mol% of Ru(η5 C7H11)(η5 C5H4SiMe3), as ruthenium precursor. The purpose in having catalytic amount of ruthenium precursor is to obtain the Ru doped Cu2O layers for subsequent reduction with formic acid at temperatures below 150 °C on arbitrary substrates. Two different approaches for the growth of ultrathin copper films have been studied in this dissertation. In the first approach, direct thermal ALD of copper has been studied by using H2 as co-reactant on Co as catalytic substrate. In the second approach, Ru-doped Cu2O is deposited by ALD using wet-O2 as co-reactant on SiO2 as non-catalytic substrate. The Ru-doped Cu2O is successfully reduced by using either formic acid or carbon-monoxide on SiO2. / Atomlagenabscheidung (ALD) von Kupfer steht im Fokus der ALD Gemeinschaft. Ultradünne Kupferschichten können als Keimschicht für die elektrochemische Abscheidung (ECD) von Kupfer in der Verbindungstechnologie eingesetzt werden. Sie können ebenfalls für Sensoren, welche auf den Effekt des Riesenmagnetowiderstandes (GMR) basieren, als nicht-ferromagnetische Zwischenschicht verwendet werden. Insbesondere Multischichtstrukturen aus ferromagnetische Kobalt und Kupfer erfordern Schichtdicken von weniger als 4,0 nm, um einen starken GMR-Effekt zu gewährleisten. Das derzeit verwendete physikalische Dampfabscheidungsverfahren für ultradünne Kupferschichten, ist besonders anfällig für eine nicht-konforme Abscheidung an den Seitenwänden und Böden von Strukturen mit hohem Aspektverhältnis. Des Weiteren kann es zur Bildung von Löchern und überhängenden Strukturen kommen, welche bei der anschließenden Kupfer ECD zu Kontaktlücken (Voids) führen können. Für die Abscheidung einer Kupfer-Keimschicht ist die ALD besonders gut geeignet, da sie es ermöglicht, ultradünne konforme Schichten auf strukturierten Oberflächen mit hohem Aspektverhältnis abzuscheiden. Dies macht sie zu einer der Schlüsseltechnologien für Struckturgrößen unter 20 nm. Im Gegensatz zur Oberflächenchemie rein metallischer ALD sind die Oberflächenreaktionen für oxidische ALD Schichten sehr gut untersucht. Die Kenntnis der Oberflächenchemie während eines ALD Prozesses ist essenziel für die Bestimmung von wichtigen Prozessparametern als auch für die Verbesserung der Präkursorsynthese ansich. Diese Arbeit beschäftigt sich mit der Untersuchung der Oberflächenchemie und Charakterisierung des Wachstums von ultradünnen Metall-Cu-Schichten mittels In-situ XPS, welche eines indirekten (Oxid) bzw. direkten Metall-ALD Prozesses abgeschieden werden, wobei die Kupfer-Oxidschichten im Anschluss einem Reduktionsprozess unterworfen werden. Hierfür wird eine Präkursormischung bestehend aus 99 mol% [(nBu3P)2Cu(acac)] und 1 mol% [Ru(η5 C7H11)(η5-C5H4SiMe3)] verwendet. Die katalytische Menge an Ru, welche in der entstehenden Cu2O Schicht verbleibt, erhöht den Effekt der Reduktion der Cu2O Schicht auf beliebigen Substraten mit Ameinsäure bei Wafertemperaturen unter 150 °C. In einem ersten Schritt wird ein direkter thermisches Kupfer ALD-Prozess, unter Verwendung von molekularem Wasserstoff als Coreaktant, auf einem Kobalt-Substrat untersucht. In einem zweiten Schritt wird ein indirekter thermischer Cu2O-ALD-Prozess, unter gleichzeitiger Verwendung von Sauerstoff und Wasserdampf als Coreaktant, mit anschließender Reduktion durch Ameinsäure oder Kohlenstoffmonoxid zu Kupfer auf den gleichen Substraten betrachtet. Die vorliegende Arbeit beschreibt das Wachstum von ultradünnen und kontinuierlichen Kupfer-Schichten mittels thermischer ALD auf inerten- SiO2 und reaktiven Kobalt-Substraten.
5

Growth Monitoring of Ultrathin Copper and Copper Oxide Films Deposited by Atomic Layer Deposition

Dhakal, Dileep 16 December 2016 (has links)
Atomic layer deposition (ALD) of copper films is getting enormous interest. Ultrathin Cu films are applied as the seed layer for electrochemical deposition (ECD) of copper in interconnect circuits and as the non-magnetic material for the realization of giant magnetoresistance (GMR) sensors. Particularly, Co/Cu multi-layered structures require sub 4.0 nm copper film thickness for obtaining strong GMR effects. The physical vapor deposition process for the deposition of the copper seed layers are prone to non-conformal coating and poor step coverage on side-walls and bottoms of trenches and vias, and presence of overhanging structures. This may cause failure of interconnections due to formation of voids after copper ECD. ALD is the most suitable technology for the deposition of conformal seed layers for the subsequent ECD in very high aspect ratio structures, also for the technology nodes below 20 nm. Surface chemistry during the ALD of oxides is quite well studied. However, surface chemistry during the ALD of pure metal is rather immature. This knowledge is necessary to optimize the process parameters, synthesize better precursors systems, and enhance the knowledge of existing metal ALD processes. The major goal of this work is to understand the surface chemistry of the used precursor and study the growth of ultrathin copper films using in-situ X-ray photoelectron spectroscopy (XPS). Copper films are deposited by ALD using the precursor mixture consisting of 99 mol% [(nBu3P)2Cu(acac)], as copper precursor and 1 mol% of Ru(η5 C7H11)(η5 C5H4SiMe3), as ruthenium precursor. The purpose in having catalytic amount of ruthenium precursor is to obtain the Ru doped Cu2O layers for subsequent reduction with formic acid at temperatures below 150 °C on arbitrary substrates. Two different approaches for the growth of ultrathin copper films have been studied in this dissertation. In the first approach, direct thermal ALD of copper has been studied by using H2 as co-reactant on Co as catalytic substrate. In the second approach, Ru-doped Cu2O is deposited by ALD using wet-O2 as co-reactant on SiO2 as non-catalytic substrate. The Ru-doped Cu2O is successfully reduced by using either formic acid or carbon-monoxide on SiO2. / Atomlagenabscheidung (ALD) von Kupfer steht im Fokus der ALD Gemeinschaft. Ultradünne Kupferschichten können als Keimschicht für die elektrochemische Abscheidung (ECD) von Kupfer in der Verbindungstechnologie eingesetzt werden. Sie können ebenfalls für Sensoren, welche auf den Effekt des Riesenmagnetowiderstandes (GMR) basieren, als nicht-ferromagnetische Zwischenschicht verwendet werden. Insbesondere Multischichtstrukturen aus ferromagnetische Kobalt und Kupfer erfordern Schichtdicken von weniger als 4,0 nm, um einen starken GMR-Effekt zu gewährleisten. Das derzeit verwendete physikalische Dampfabscheidungsverfahren für ultradünne Kupferschichten, ist besonders anfällig für eine nicht-konforme Abscheidung an den Seitenwänden und Böden von Strukturen mit hohem Aspektverhältnis. Des Weiteren kann es zur Bildung von Löchern und überhängenden Strukturen kommen, welche bei der anschließenden Kupfer ECD zu Kontaktlücken (Voids) führen können. Für die Abscheidung einer Kupfer-Keimschicht ist die ALD besonders gut geeignet, da sie es ermöglicht, ultradünne konforme Schichten auf strukturierten Oberflächen mit hohem Aspektverhältnis abzuscheiden. Dies macht sie zu einer der Schlüsseltechnologien für Struckturgrößen unter 20 nm. Im Gegensatz zur Oberflächenchemie rein metallischer ALD sind die Oberflächenreaktionen für oxidische ALD Schichten sehr gut untersucht. Die Kenntnis der Oberflächenchemie während eines ALD Prozesses ist essenziel für die Bestimmung von wichtigen Prozessparametern als auch für die Verbesserung der Präkursorsynthese ansich. Diese Arbeit beschäftigt sich mit der Untersuchung der Oberflächenchemie und Charakterisierung des Wachstums von ultradünnen Metall-Cu-Schichten mittels In-situ XPS, welche eines indirekten (Oxid) bzw. direkten Metall-ALD Prozesses abgeschieden werden, wobei die Kupfer-Oxidschichten im Anschluss einem Reduktionsprozess unterworfen werden. Hierfür wird eine Präkursormischung bestehend aus 99 mol% [(nBu3P)2Cu(acac)] und 1 mol% [Ru(η5 C7H11)(η5-C5H4SiMe3)] verwendet. Die katalytische Menge an Ru, welche in der entstehenden Cu2O Schicht verbleibt, erhöht den Effekt der Reduktion der Cu2O Schicht auf beliebigen Substraten mit Ameinsäure bei Wafertemperaturen unter 150 °C. In einem ersten Schritt wird ein direkter thermisches Kupfer ALD-Prozess, unter Verwendung von molekularem Wasserstoff als Coreaktant, auf einem Kobalt-Substrat untersucht. In einem zweiten Schritt wird ein indirekter thermischer Cu2O-ALD-Prozess, unter gleichzeitiger Verwendung von Sauerstoff und Wasserdampf als Coreaktant, mit anschließender Reduktion durch Ameinsäure oder Kohlenstoffmonoxid zu Kupfer auf den gleichen Substraten betrachtet. Die vorliegende Arbeit beschreibt das Wachstum von ultradünnen und kontinuierlichen Kupfer-Schichten mittels thermischer ALD auf inerten- SiO2 und reaktiven Kobalt-Substraten.

Page generated in 0.0527 seconds