• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 16
  • 3
  • 2
  • Tagged with
  • 21
  • 20
  • 20
  • 17
  • 16
  • 15
  • 14
  • 14
  • 14
  • 14
  • 14
  • 14
  • 13
  • 12
  • 12
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

Characterization of Sputtered Ta and TaN Films by Spectroscopic Ellipsometry

Waechtler, Thomas, Gruska, Bernd, Zimmermann, Sven, Schulz, Stefan E., Gessner, Thomas 18 June 2007 (has links) (PDF)
Spectroscopic ellipsometry is emerging as a routine tool for in-situ and ex-situ thin-film characterization in semiconductor manufacturing. For interconnects in ULSI circuits, diffusion barriers of below 10&nbsp;nm thickness are required and precise thickness control of the deposited layers is indispensable. In this work, we studied single films of tantalum and two stoichiometries of tantalum nitride as well as TaN/Ta film stacks both on bare and oxidized silicon. Spectroscopic ellipsometry from the UV to the NIR was applied to determine the optical properties of the films for subsequent modeling by a Lorentz-Drude approach. These models were successfully applied to TaN/Ta thin-film stacks where the values of the film thickness could be determined exactly. Moreover, it is shown that considerable differences in the optical properties arise from both film thickness and substrate. <br> <br> ©2006 IEEE. Personal use of this material is permitted. However, permission to reprint/republish this material for advertising or promotional purposes or for creating new collective works for resale or redistribution to servers or lists, or to reuse any copyrighted component of this work in other works must be obtained from the IEEE. <br>
2

Herstellung, Charakterisierung und Bewertung leitfähiger Diffusionsbarrieren auf Basis von Tantal, Titan und Wolfram für die Kupfermetallisierung von Siliciumschaltkreisen / Preparation, characterization and evaluation of conductive diffusion barriers based on tantalum, titanium and tungsten for the copper metallization of silicon integrated circuits

Baumann, Jens 16 May 2004 (has links) (PDF)
Summary (english): The thesis investigates the potential of thin films of Ta, Ti and W and their nitrides to suppress copper induced interactions in the contact area to silicon. Possible interactions between Cu and gaseos or solid materials within preparation and lifetime of an integrated circuit are summarized. The degradation mechanisms to be expected are the solution of Cu in Si and the formation of Cu3Si. Thin conductive diffusion barriiers are needed to suppress this mechanisms. The requirements on these barriers are discussed. The most important criterion, their resistivity, is determined by the place of application. The resitivity has to be lower than 100 mOhmcm for contacts and lower than 2000 mikroOhmcm for vias. The materials to be separated by a diffusion barrier can pass it by diffusion or the diffusion barrier can be destroyed by reaction (reactive diffusion). Therefore one can distinguish in passive and sacrificial barriers. The thin films were prepared by magnetron sputtering in Ar or Ar/N2 mixture. The films were characterized with respect to composition, phase/structure as well as their resulting electrical, optical, and mechanical properties. The appearance of new phases correlates with changes in process parameters like target voltage and condensation rate. All films - except for a small process window for amorphous/nanocrystalline WNx films - are polycrystalline. The influence of annealing steps in different ambients is investigated. Amorphous/nanocrystalline WNx films do recrystallize during annealing. For a direct contact of Cu to Si a sufficient energy supply during Cu depotsition or during following annealing (T> 200 °C) results in the formation of Cu3Si. The potential of diffusion barriers of different thicknesses and nitrogen contents to suppress this reaction is investigated for annealing steps up to 650 °C. The characterization is performed by analytical methods, sheet resistance measurements as well as leakage current measurements (pn, np and schottky diodes). A diffusion barrier is able to suppress the Cu3Si formation, until itself is consumed by silicidation or intermetallic phase formation. The metal nitrides are more stable, since the present metal nitrogen bonding has to be broken before these reactions can start. With the failure of a diffusion barrier a Cu Si contact occurs with the consequence of copper silicide formation. The silicidation can be either homogeneous (on a large area) or in the form of crystallites several mikrometers in diameter. The distance between the crystallites is up to several 100 mikrometers. It is shown, that results of a barrier evaluation can be paradox if different methods are applied to the same sample. The diffusion of Cu accross a barrier into Si can be shown using analytical methods, already before the formation of Cu3Si. However, the leakage current of pn or schottky diodes is not or not unequivocal modified by this diffusion. The leakage current does not change before the diodes are shorted by the Cu3Si formation. The results of parallel prepared references with Al metallization show, that the diffusion barriers are more stable in a Cu metallization than in an Al metallization. / Zusammenfassung (detusch): Die Arbeit beschreibt das Potential von Schichten des Ta, Ti, W und ihrer Nitride zur Unterdrückung kupferinduzierter Degradationen im Kontakt zu Silicium. Mögliche Wechselwirkungen zwischen Cu und den im Herstellungsprozess sowie der Lebensdauer von Schaltkreisen präsenten Gasen und Feststoffen werden zusammengestellt. Für das System Cu-Si sind als Degradationsmechanismen die Lösung von Cu und die Cu3Si Bildung zu erwarten. Die Anforderungen an die zur Unterdrückung der Degradationen notwendigen leitfähigen Diffusionsbarrieren werden diskutiert. Ihr spezifischer elektrischer Widerstand als wichtigstes Kriterium für die Integration wird vom Einsatzort bestimmt. Er muss für Kontakte unter 100 mOhm cm und für Vias unter 2000 mikroOhmcm liegen. Diffusionsbarrieren können von den zu trennenden Materialien durch Diffusion überwunden oder durch Reaktion (reaktive Diffusion) aufgezehrt werden. Damit kann in passive und Opferbarrieren unterschieden werden. Die Schichtherstellung erfolgt mit dem Verfahren der Magnetronzerstäubung in Ar oder Ar/N2 Atmosphäre. Sie werden hinsichtlich ihrer Zusammensetzung, Phase/Struktur sowie resultierender elektrischer, optischer und mechanischer Eigenschaften charakterisiert. Das Auftreten neuer Phasen korreliert mit Verlaufsänderungen einfach zugänglicher Prozessparameter wie Targetspannung und Kondensationsrate. Alle Schichten mit Ausnahme eines engen Prozessfensters für amorphes/nanokristallines WNx sind polykristallin. Der Einfluss von Temperungen in verschiedenen Medien wird untersucht. Amorphe/nanokristalline WNx Schichten rekristallisieren während Temperung. Für direkten Kontakt Cu zu Si führt ausreichende Energiezufuhr schon während der Abscheidung oder während nachfolgender Temperung (T> 200 °C) zur Cu3Si Bildung. Das Potential der Diffusionsbarrieren zur Unterdrückung dieser Reaktion wird für unterschiedliche Dicken und Stickstoffgehalte nach Temperungen bis maximal 650 °C untersucht. Dazu werden analytische Methoden, Schichtwiderstandsmessungen und Sperrstromdichtemessungen an pn, np und Schottkydioden eingesetzt. Die Diffusionsbarrieren können die Cu3Si Bildung unterdrücken, bis sie selbst durch Silicierung und/oder intermetallische Phasenbildung aufgezehrt sind. Die Nitride der Metalle sind thermisch stabiler, weil Metall Stickstoff Bindungen erst aufgebrochen werden müssen. Mit dem Versagen der Barrieren treffen Cu und Si zusammen - mit der Folge der Kupfersilicidbildung. Sie kann grossflächig oder in Form mikrometergrosser und einige 100 mikrometer voneinander entfernt liegender Kristallite stattfinden. Für beide Degradationsmechanismen kann gezeigt werden, dass eine Barrierebewertung für unterschiedliche Methoden paradoxe Ergebnisse liefern kann. Die Cu Diffusion über die Diffusionsbarriere in das Si kann mit analytischen Methoden schon vor der Cu3Si Bildung nachgewiesen werden. Der Sperrstrom von pn oder Schottkydioden wird dadurch nicht bzw. nicht eindeutig verändert. Er reagiert erst, wenn sie durch Cu3Si Wachstum kurzgeschlossen sind. Ergebnisse parallel präparierter Referenzen mit Al Metallisierung belegen, dass die Diffusionsbarrieren gegen Cu gleich oder besser wirken als gegen Al.
3

Herstellung, Charakterisierung und Bewertung leitfähiger Diffusionsbarrieren auf Basis von Tantal, Titan und Wolfram für die Kupfermetallisierung von Siliciumschaltkreisen / Preparation, characterization and evaluation of conductive diffusion barriers based on tantalum, titanium and tungsten for the copper metallization of silicon integrated circuits

Baumann, Jens 21 May 2004 (has links) (PDF)
The thesis investigates the potential of thin films of Ta, Ti and W and their nitrides to suppress copper induced interactions in the contact area to silicon. Possible interactions between Cu and gaseos or solid materials within preparation and lifetime of an integrated circuit are summarized. The degradation mechanisms to be expected are the solution of Cu in Si and the formation of Cu3Si. Thin conductive diffusion barriiers are needed to suppress this mechanisms. The requirements on these barriers are discussed. The most important criterion, their resistivity, is determined by the place of application. The resitivity has to be lower than 100 mOhmcm for contacts and lower than 2000 mikroOhmcm for vias. The materials to be separated by a diffusion barrier can pass it by diffusion or the diffusion barrier can be destroyed by reaction (reactive diffusion). Therefore one can distinguish in passive and sacrificial barriers. The thin films were prepared by magnetron sputtering in Ar or Ar/N2 mixture. The films were characterized with respect to composition, phase/structure as well as their resulting electrical, optical, and mechanical properties. The appearance of new phases correlates with changes in process parameters like target voltage and condensation rate. All films - except for a small process window for amorphous/nanocrystalline WNx films - are polycrystalline. The influence of annealing steps in different ambients is investigated. Amorphous/nanocrystalline WNx films do recrystallize during annealing. For a direct contact of Cu to Si a sufficient energy supply during Cu depotsition or during following annealing (T> 200 °C) results in the formation of Cu3Si. The potential of diffusion barriers of different thicknesses and nitrogen contents to suppress this reaction is investigated for annealing steps up to 650 C. The characterization is performed by analytical methods, sheet resistance measurements as well as leakage current measurements (pn, np and schottky diodes). A diffusion barrier is able to suppress the Cu3Si formation, until itself is consumed by silicidation or intermetallic phase formation. The metal nitrides are more stable, since the present metal nitrogen bonding has to be broken before these reactions can start. With the failure of a diffusion barrier a Cu Si contact occurs with the consequence of copper silicide formation. The silicidation can be either homogeneous (on a large area) or in the form of crystallites several mikrometers in diameter. The distance between the crystallites is up to several 100 mikrometers. It is shown, that results of a barrier evaluation can be paradox if different methods are applied to the same sample. The diffusion of Cu accross a barrier into Si can be shown using analytical methods, already before the formation of Cu3Si. However, the leakage current of pn or schottky diodes is not or not unequivocal modified by this diffusion. The leakage current does not change before the diodes are shorted by the Cu3Si formation. The results of parallel prepared references with Al metallization show, that the diffusion barriers are more stable in a Cu metallization than in an Al metallization. (copying allowed) new: pdf version 1.4 / Die Arbeit beschreibt das Potential von Schichten des Ta, Ti, W und ihrer Nitride zur Unterdrückung kupferinduzierter Degradationen im Kontakt zu Silicium. Mögliche Wechselwirkungen zwischen Cu und den im Herstellungsprozess sowie der Lebensdauer von Schaltkreisen präsenten Gasen und Feststoffen werden zusammengestellt. Für das System Cu-Si sind als Degradationsmechanismen die Lösung von Cu und die Cu3Si Bildung zu erwarten. Die Anforderungen an die zur Unterdrückung der Degradationen notwendigen leitfähigen Diffusionsbarrieren werden diskutiert. Ihr spezifischer elektrischer Widerstand als wichtigstes Kriterium für die Integration wird vom Einsatzort bestimmt. Er muss für Kontakte unter 100 mOhm cm und für Vias unter 2000 mikroOhmcm liegen. Diffusionsbarrieren können von den zu trennenden Materialien durch Diffusion überwunden oder durch Reaktion (reaktive Diffusion) aufgezehrt werden. Damit kann in passive und Opferbarrieren unterschieden werden. Die Schichtherstellung erfolgt mit dem Verfahren der Magnetronzerstäubung in Ar oder Ar/N2 Atmosphäre. Sie werden hinsichtlich ihrer Zusammensetzung, Phase/Struktur sowie resultierender elektrischer, optischer und mechanischer Eigenschaften charakterisiert. Das Auftreten neuer Phasen korreliert mit Verlaufsänderungen einfach zugänglicher Prozessparameter wie Targetspannung und Kondensationsrate. Alle Schichten mit Ausnahme eines engen Prozessfensters für amorphes/nanokristallines WNx sind polykristallin. Der Einfluss von Temperungen in verschiedenen Medien wird untersucht. Amorphe/nanokristalline WNx Schichten rekristallisieren während Temperung. Für direkten Kontakt Cu zu Si führt ausreichende Energiezufuhr schon während der Abscheidung oder während nachfolgender Temperung (T > 200 °C) zur Cu3Si Bildung. Das Potential der Diffusionsbarrieren zur Unterdrückung dieser Reaktion wird für unterschiedliche Dicken und Stickstoffgehalte nach Temperungen bis maximal 650 C untersucht. Dazu werden analytische Methoden, Schichtwiderstandsmessungen und Sperrstromdichtemessungen an pn, np und Schottkydioden eingesetzt. Die Diffusionsbarrieren können die Cu3Si Bildung unterdrücken, bis sie selbst durch Silicierung und/oder intermetallische Phasenbildung aufgezehrt sind. Die Nitride der Metalle sind thermisch stabiler, weil Metall Stickstoff Bindungen erst aufgebrochen werden müssen. Mit dem Versagen der Barrieren treffen Cu und Si zusammen - mit der Folge der Kupfersilicidbildung. Sie kann grossflächig oder in Form mikrometergrosser und einige 100 mikrometer voneinander entfernt liegender Kristallite stattfinden. Für beide Degradationsmechanismen kann gezeigt werden, dass eine Barrierebewertung für unterschiedliche Methoden paradoxe Ergebnisse liefern kann. Die Cu Diffusion über die Diffusionsbarriere in das Si kann mit analytischen Methoden schon vor der Cu3Si Bildung nachgewiesen werden. Der Sperrstrom von pn oder Schottkydioden wird dadurch nicht bzw. nicht eindeutig verändert. Er reagiert erst, wenn sie durch Cu3Si Wachstum kurzgeschlossen sind. Ergebnisse parallel präparierter Referenzen mit Al Metallisierung belegen, dass die Diffusionsbarrieren gegen Cu gleich oder besser wirken als gegen Al. (Kopiermöglichkeit) neu: PDF-Version 1.4
4

Herstellung, Charakterisierung und Bewertung leitfähiger Diffusionsbarrieren auf Basis von Tantal, Titan und Wolfram für die Kupfermetallisierung von Siliciumschaltkreisen

Baumann, Jens, January 2004 (has links)
Chemnitz, Techn. Univ., Diss., 2003. / Auch im Shaker-Verl. ersch. u.d.Titel: Herstellung, Charakterisierung und Bewertung von leitfähigen Diffusionsbarrieren auf Basis von Ta, Ti und W für die Kupfermetallisierung von Siliciumschaltkreisen, 2004 (3-8322-2532-3).
5

Characterization of Sputtered Ta and TaN Films by Spectroscopic Ellipsometry

Waechtler, Thomas, Gruska, Bernd, Zimmermann, Sven, Schulz, Stefan E., Gessner, Thomas 18 June 2007 (has links)
Spectroscopic ellipsometry is emerging as a routine tool for in-situ and ex-situ thin-film characterization in semiconductor manufacturing. For interconnects in ULSI circuits, diffusion barriers of below 10&nbsp;nm thickness are required and precise thickness control of the deposited layers is indispensable. In this work, we studied single films of tantalum and two stoichiometries of tantalum nitride as well as TaN/Ta film stacks both on bare and oxidized silicon. Spectroscopic ellipsometry from the UV to the NIR was applied to determine the optical properties of the films for subsequent modeling by a Lorentz-Drude approach. These models were successfully applied to TaN/Ta thin-film stacks where the values of the film thickness could be determined exactly. Moreover, it is shown that considerable differences in the optical properties arise from both film thickness and substrate. <br> <br> ©2006 IEEE. Personal use of this material is permitted. However, permission to reprint/republish this material for advertising or promotional purposes or for creating new collective works for resale or redistribution to servers or lists, or to reuse any copyrighted component of this work in other works must be obtained from the IEEE. <br>
6

Copper oxide atomic layer deposition on thermally pretreated multi-walled carbon nanotubes for interconnect applications

Melzer, Marcel, Waechtler, Thomas, Müller, Steve, Fiedler, Holger, Hermann, Sascha, Rodriguez, Raul D., Villabona, Alexander, Sendzik, Andrea, Mothes, Robert, Schulz, Stefan E., Zahn, Dietrich R.T., Hietschold, Michael, Lang, Heinrich, Gessner, Thomas 22 May 2013 (has links) (PDF)
The following is the accepted manuscript of the original article: Marcel Melzer, Thomas Waechtler, Steve Müller, Holger Fiedler, Sascha Hermann, Raul D. Rodriguez, Alexander Villabona, Andrea Sendzik, Robert Mothes, Stefan E. Schulz, Dietrich R.T. Zahn, Michael Hietschold, Heinrich Lang and Thomas Gessner “Copper oxide atomic layer deposition on thermally pretreated multi-walled carbon nanotubes for interconnect applications”, Microelectron. Eng. 107, 223-228 (2013). Digital Object Identifier: 10.1016/j.mee.2012.10.026 Available via http://www.sciencedirect.com or http://dx.doi.org/10.1016/j.mee.2012.10.026 © 2013 Elsevier B.V. Carbon nanotubes (CNTs) are a highly promising material for future interconnects. It is expected that a decoration of the CNTs with Cu particles or also the filling of the interspaces between the CNTs with Cu can enhance the performance of CNT-based interconnects. The current work is therefore considered with thermal atomic layer deposition (ALD) of CuxO from the liquid Cu(I) β-diketonate precursor [(nBu3P)2Cu(acac)] and wet oxygen at 135°C. This paper focuses on different thermal in-situ pre-treatments of the CNTs with O2, H2O and wet O2 at temperatures up to 300°C prior to the ALD process. Analyses by transmission electron microscopy show that in most cases the CuxO forms particles on the multi-walled CNTs (MWCNTs). This behavior can be explained by the low affinity of Cu to form carbides. Nevertheless, also the formation of areas with rather layer-like growth was observed in case of an oxidation with wet O2 at 300°C. This growth mode indicates the partial destruction of the MWCNT surface. However, the damages introduced into the MWCNTs during the pre treatment are too low to be detected by Raman spectroscopy.
7

Atomic Layer Deposition and Microanalysis of Ultrathin Layers

Melzer, Marcel 17 October 2012 (has links) (PDF)
Carbon nanotubes (CNTs) are a highly promising material for future interconnects. It is expected that the decoration of CNTs with Cu particles or also the filling of the interspaces between the CNTs with Cu instead of the currently used SiO2 can enhance the performance of CNT-based interconnects. Due to the high aspect ratio of CNTs an appropriate deposition technique has to be applied which is able to coat such structures uniformly. The current work is therefore considered with thermal atomic layer deposition (ALD) of CuxO from the liquid Cu (I) β-diketonate precursor [(nBu3P)2Cu(acac)] and wet oxygen at 135°C on variously pretreated multi-walled CNTs. The different in-situ pre-treatments of the CNTs with oxygen, water vapor and wet oxygen in a temperature range from 100 to 300°C at a pressure of 1.33 mbar have been carried out prior to the ALD to enable uniform nucleation on the otherwise chemical inert CNT surface. The reduction of the CuxO as well as the filling of the space between the CNTs is not part of this work. Variations of the oxidation temperature as well as the oxidation agents resulted in different growth modes of the CuxO. An oxidation with wet oxygen at 300°C yielded in a partially layer like growth of the CuxO. It is expected that this growth mode is connected to a partial destruction of the outer CNT shell due to the oxidation. However, the damage introduced to the CNTs was not high enough to be detected by Raman spectroscopy. For all other investigated pretreatments, the formation of nanoparticles (NPs) was observed by electron microscopy. This formation of CuxO NPs can be explained by the metal-tube-interaction. Furthermore, the NPs probably decorate defect sites of the CNTs due to their higher reactivity. Additionally, analysis of energy-dispersive X-ray spectroscopy and spectroscopic ellipsometry measurements suggests that the used precursor [(nBu3P)2Cu(acac)] requires reactive oxygen surface groups for initiating the ALD growth. The observation of layer-like growth of CuxO on CNTs pretreated with wet oxygen at 300°C appears promising for deposition processes of Cu seed layers on CNTs. However, more aggressive pretreatments at higher temperatures or with more aggressive oxidation agents could be required to enable layer like growth on the entire CNTs.
8

ALD of Copper and Copper Oxide Thin Films For Applications in Metallization Systems of ULSI Devices

Waechtler, Thomas, Oswald, Steffen, Roth, Nina, Lang, Heinrich, Schulz, Stefan E., Gessner, Thomas 15 July 2008 (has links) (PDF)
<p> As a possible alternative for growing seed layers required for electrochemical Cu deposition of metallization systems in ULSI circuits, the atomic layer deposition (ALD) of Cu is under consideration. To avoid drawbacks related to plasma-enhanced ALD (PEALD), thermal growth of Cu has been proposed by two-step processes forming copper oxide films by ALD which are subsequently reduced. </p> <p> This talk, given at the 8th International Conference on Atomic Layer Deposition (ALD 2008), held in Bruges, Belgium from 29 June to 2 July 2008, summarizes the results of thermal ALD experiments from [(<sup><i>n</i></sup>Bu<sub>3</sub>P)<sub>2</sub>Cu(acac)] precursor and wet O<sub>2</sub>. The precursor is of particular interest as it is a liquid at room temperature and thus easier to handle than frequently utilized solids such as Cu(acac)<sub>2</sub>, Cu(hfac)<sub>2</sub> or Cu(thd)<sub>2</sub>. Furthermore the substance is non-fluorinated, which helps avoiding a major source of adhesion issues repeatedly observed in Cu CVD. </p> <p> As result of the ALD experiments, we obtained composites of metallic and oxidized Cu on Ta and TaN, which was determined by angle-resolved XPS analyses. While smooth, adherent films were grown on TaN in an ALD window up to about 130°C, cluster-formation due to self-decomposition of the precursor was observed on Ta. We also recognized a considerable dependency of the growth on the degree of nitridation of the TaN. In contrast, smooth films could be grown up to 130°C on SiO<sub>2</sub> and Ru, although in the latter case the ALD window only extends to about 120°C. To apply the ALD films as seed layers in subsequent electroplating processes, several reduction processes are under investigation. Thermal and plasma-assisted hydrogen treatments are studied, as well as thermal treatments in vapors of isopropanol, formic acid, and aldehydes. So far these attempts were most promising using formic acid at temperatures between 100 and 120°C, also offering the benefit of avoiding agglomeration of the very thin ALD films on Ta and TaN. In this respect, the process sequence shows potential for depositing ultra-thin, smooth Cu films at temperatures below 150°C. </p>
9

Herstellung, Charakterisierung und Bewertung leitfähiger Diffusionsbarrieren auf Basis von Tantal, Titan und Wolfram für die Kupfermetallisierung von Siliciumschaltkreisen

Baumann, Jens 20 June 2003 (has links)
Summary (english): The thesis investigates the potential of thin films of Ta, Ti and W and their nitrides to suppress copper induced interactions in the contact area to silicon. Possible interactions between Cu and gaseos or solid materials within preparation and lifetime of an integrated circuit are summarized. The degradation mechanisms to be expected are the solution of Cu in Si and the formation of Cu3Si. Thin conductive diffusion barriiers are needed to suppress this mechanisms. The requirements on these barriers are discussed. The most important criterion, their resistivity, is determined by the place of application. The resitivity has to be lower than 100 mOhmcm for contacts and lower than 2000 mikroOhmcm for vias. The materials to be separated by a diffusion barrier can pass it by diffusion or the diffusion barrier can be destroyed by reaction (reactive diffusion). Therefore one can distinguish in passive and sacrificial barriers. The thin films were prepared by magnetron sputtering in Ar or Ar/N2 mixture. The films were characterized with respect to composition, phase/structure as well as their resulting electrical, optical, and mechanical properties. The appearance of new phases correlates with changes in process parameters like target voltage and condensation rate. All films - except for a small process window for amorphous/nanocrystalline WNx films - are polycrystalline. The influence of annealing steps in different ambients is investigated. Amorphous/nanocrystalline WNx films do recrystallize during annealing. For a direct contact of Cu to Si a sufficient energy supply during Cu depotsition or during following annealing (T> 200 °C) results in the formation of Cu3Si. The potential of diffusion barriers of different thicknesses and nitrogen contents to suppress this reaction is investigated for annealing steps up to 650 °C. The characterization is performed by analytical methods, sheet resistance measurements as well as leakage current measurements (pn, np and schottky diodes). A diffusion barrier is able to suppress the Cu3Si formation, until itself is consumed by silicidation or intermetallic phase formation. The metal nitrides are more stable, since the present metal nitrogen bonding has to be broken before these reactions can start. With the failure of a diffusion barrier a Cu Si contact occurs with the consequence of copper silicide formation. The silicidation can be either homogeneous (on a large area) or in the form of crystallites several mikrometers in diameter. The distance between the crystallites is up to several 100 mikrometers. It is shown, that results of a barrier evaluation can be paradox if different methods are applied to the same sample. The diffusion of Cu accross a barrier into Si can be shown using analytical methods, already before the formation of Cu3Si. However, the leakage current of pn or schottky diodes is not or not unequivocal modified by this diffusion. The leakage current does not change before the diodes are shorted by the Cu3Si formation. The results of parallel prepared references with Al metallization show, that the diffusion barriers are more stable in a Cu metallization than in an Al metallization. / Zusammenfassung (detusch): Die Arbeit beschreibt das Potential von Schichten des Ta, Ti, W und ihrer Nitride zur Unterdrückung kupferinduzierter Degradationen im Kontakt zu Silicium. Mögliche Wechselwirkungen zwischen Cu und den im Herstellungsprozess sowie der Lebensdauer von Schaltkreisen präsenten Gasen und Feststoffen werden zusammengestellt. Für das System Cu-Si sind als Degradationsmechanismen die Lösung von Cu und die Cu3Si Bildung zu erwarten. Die Anforderungen an die zur Unterdrückung der Degradationen notwendigen leitfähigen Diffusionsbarrieren werden diskutiert. Ihr spezifischer elektrischer Widerstand als wichtigstes Kriterium für die Integration wird vom Einsatzort bestimmt. Er muss für Kontakte unter 100 mOhm cm und für Vias unter 2000 mikroOhmcm liegen. Diffusionsbarrieren können von den zu trennenden Materialien durch Diffusion überwunden oder durch Reaktion (reaktive Diffusion) aufgezehrt werden. Damit kann in passive und Opferbarrieren unterschieden werden. Die Schichtherstellung erfolgt mit dem Verfahren der Magnetronzerstäubung in Ar oder Ar/N2 Atmosphäre. Sie werden hinsichtlich ihrer Zusammensetzung, Phase/Struktur sowie resultierender elektrischer, optischer und mechanischer Eigenschaften charakterisiert. Das Auftreten neuer Phasen korreliert mit Verlaufsänderungen einfach zugänglicher Prozessparameter wie Targetspannung und Kondensationsrate. Alle Schichten mit Ausnahme eines engen Prozessfensters für amorphes/nanokristallines WNx sind polykristallin. Der Einfluss von Temperungen in verschiedenen Medien wird untersucht. Amorphe/nanokristalline WNx Schichten rekristallisieren während Temperung. Für direkten Kontakt Cu zu Si führt ausreichende Energiezufuhr schon während der Abscheidung oder während nachfolgender Temperung (T> 200 °C) zur Cu3Si Bildung. Das Potential der Diffusionsbarrieren zur Unterdrückung dieser Reaktion wird für unterschiedliche Dicken und Stickstoffgehalte nach Temperungen bis maximal 650 °C untersucht. Dazu werden analytische Methoden, Schichtwiderstandsmessungen und Sperrstromdichtemessungen an pn, np und Schottkydioden eingesetzt. Die Diffusionsbarrieren können die Cu3Si Bildung unterdrücken, bis sie selbst durch Silicierung und/oder intermetallische Phasenbildung aufgezehrt sind. Die Nitride der Metalle sind thermisch stabiler, weil Metall Stickstoff Bindungen erst aufgebrochen werden müssen. Mit dem Versagen der Barrieren treffen Cu und Si zusammen - mit der Folge der Kupfersilicidbildung. Sie kann grossflächig oder in Form mikrometergrosser und einige 100 mikrometer voneinander entfernt liegender Kristallite stattfinden. Für beide Degradationsmechanismen kann gezeigt werden, dass eine Barrierebewertung für unterschiedliche Methoden paradoxe Ergebnisse liefern kann. Die Cu Diffusion über die Diffusionsbarriere in das Si kann mit analytischen Methoden schon vor der Cu3Si Bildung nachgewiesen werden. Der Sperrstrom von pn oder Schottkydioden wird dadurch nicht bzw. nicht eindeutig verändert. Er reagiert erst, wenn sie durch Cu3Si Wachstum kurzgeschlossen sind. Ergebnisse parallel präparierter Referenzen mit Al Metallisierung belegen, dass die Diffusionsbarrieren gegen Cu gleich oder besser wirken als gegen Al.
10

Herstellung, Charakterisierung und Bewertung leitfähiger Diffusionsbarrieren auf Basis von Tantal, Titan und Wolfram für die Kupfermetallisierung von Siliciumschaltkreisen

Baumann, Jens 20 June 2003 (has links)
The thesis investigates the potential of thin films of Ta, Ti and W and their nitrides to suppress copper induced interactions in the contact area to silicon. Possible interactions between Cu and gaseos or solid materials within preparation and lifetime of an integrated circuit are summarized. The degradation mechanisms to be expected are the solution of Cu in Si and the formation of Cu3Si. Thin conductive diffusion barriiers are needed to suppress this mechanisms. The requirements on these barriers are discussed. The most important criterion, their resistivity, is determined by the place of application. The resitivity has to be lower than 100 mOhmcm for contacts and lower than 2000 mikroOhmcm for vias. The materials to be separated by a diffusion barrier can pass it by diffusion or the diffusion barrier can be destroyed by reaction (reactive diffusion). Therefore one can distinguish in passive and sacrificial barriers. The thin films were prepared by magnetron sputtering in Ar or Ar/N2 mixture. The films were characterized with respect to composition, phase/structure as well as their resulting electrical, optical, and mechanical properties. The appearance of new phases correlates with changes in process parameters like target voltage and condensation rate. All films - except for a small process window for amorphous/nanocrystalline WNx films - are polycrystalline. The influence of annealing steps in different ambients is investigated. Amorphous/nanocrystalline WNx films do recrystallize during annealing. For a direct contact of Cu to Si a sufficient energy supply during Cu depotsition or during following annealing (T> 200 °C) results in the formation of Cu3Si. The potential of diffusion barriers of different thicknesses and nitrogen contents to suppress this reaction is investigated for annealing steps up to 650 C. The characterization is performed by analytical methods, sheet resistance measurements as well as leakage current measurements (pn, np and schottky diodes). A diffusion barrier is able to suppress the Cu3Si formation, until itself is consumed by silicidation or intermetallic phase formation. The metal nitrides are more stable, since the present metal nitrogen bonding has to be broken before these reactions can start. With the failure of a diffusion barrier a Cu Si contact occurs with the consequence of copper silicide formation. The silicidation can be either homogeneous (on a large area) or in the form of crystallites several mikrometers in diameter. The distance between the crystallites is up to several 100 mikrometers. It is shown, that results of a barrier evaluation can be paradox if different methods are applied to the same sample. The diffusion of Cu accross a barrier into Si can be shown using analytical methods, already before the formation of Cu3Si. However, the leakage current of pn or schottky diodes is not or not unequivocal modified by this diffusion. The leakage current does not change before the diodes are shorted by the Cu3Si formation. The results of parallel prepared references with Al metallization show, that the diffusion barriers are more stable in a Cu metallization than in an Al metallization. (copying allowed) new: pdf version 1.4 / Die Arbeit beschreibt das Potential von Schichten des Ta, Ti, W und ihrer Nitride zur Unterdrückung kupferinduzierter Degradationen im Kontakt zu Silicium. Mögliche Wechselwirkungen zwischen Cu und den im Herstellungsprozess sowie der Lebensdauer von Schaltkreisen präsenten Gasen und Feststoffen werden zusammengestellt. Für das System Cu-Si sind als Degradationsmechanismen die Lösung von Cu und die Cu3Si Bildung zu erwarten. Die Anforderungen an die zur Unterdrückung der Degradationen notwendigen leitfähigen Diffusionsbarrieren werden diskutiert. Ihr spezifischer elektrischer Widerstand als wichtigstes Kriterium für die Integration wird vom Einsatzort bestimmt. Er muss für Kontakte unter 100 mOhm cm und für Vias unter 2000 mikroOhmcm liegen. Diffusionsbarrieren können von den zu trennenden Materialien durch Diffusion überwunden oder durch Reaktion (reaktive Diffusion) aufgezehrt werden. Damit kann in passive und Opferbarrieren unterschieden werden. Die Schichtherstellung erfolgt mit dem Verfahren der Magnetronzerstäubung in Ar oder Ar/N2 Atmosphäre. Sie werden hinsichtlich ihrer Zusammensetzung, Phase/Struktur sowie resultierender elektrischer, optischer und mechanischer Eigenschaften charakterisiert. Das Auftreten neuer Phasen korreliert mit Verlaufsänderungen einfach zugänglicher Prozessparameter wie Targetspannung und Kondensationsrate. Alle Schichten mit Ausnahme eines engen Prozessfensters für amorphes/nanokristallines WNx sind polykristallin. Der Einfluss von Temperungen in verschiedenen Medien wird untersucht. Amorphe/nanokristalline WNx Schichten rekristallisieren während Temperung. Für direkten Kontakt Cu zu Si führt ausreichende Energiezufuhr schon während der Abscheidung oder während nachfolgender Temperung (T > 200 °C) zur Cu3Si Bildung. Das Potential der Diffusionsbarrieren zur Unterdrückung dieser Reaktion wird für unterschiedliche Dicken und Stickstoffgehalte nach Temperungen bis maximal 650 C untersucht. Dazu werden analytische Methoden, Schichtwiderstandsmessungen und Sperrstromdichtemessungen an pn, np und Schottkydioden eingesetzt. Die Diffusionsbarrieren können die Cu3Si Bildung unterdrücken, bis sie selbst durch Silicierung und/oder intermetallische Phasenbildung aufgezehrt sind. Die Nitride der Metalle sind thermisch stabiler, weil Metall Stickstoff Bindungen erst aufgebrochen werden müssen. Mit dem Versagen der Barrieren treffen Cu und Si zusammen - mit der Folge der Kupfersilicidbildung. Sie kann grossflächig oder in Form mikrometergrosser und einige 100 mikrometer voneinander entfernt liegender Kristallite stattfinden. Für beide Degradationsmechanismen kann gezeigt werden, dass eine Barrierebewertung für unterschiedliche Methoden paradoxe Ergebnisse liefern kann. Die Cu Diffusion über die Diffusionsbarriere in das Si kann mit analytischen Methoden schon vor der Cu3Si Bildung nachgewiesen werden. Der Sperrstrom von pn oder Schottkydioden wird dadurch nicht bzw. nicht eindeutig verändert. Er reagiert erst, wenn sie durch Cu3Si Wachstum kurzgeschlossen sind. Ergebnisse parallel präparierter Referenzen mit Al Metallisierung belegen, dass die Diffusionsbarrieren gegen Cu gleich oder besser wirken als gegen Al. (Kopiermöglichkeit) neu: PDF-Version 1.4

Page generated in 0.4893 seconds