• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 12
  • 5
  • 3
  • Tagged with
  • 20
  • 18
  • 16
  • 15
  • 13
  • 13
  • 12
  • 12
  • 12
  • 12
  • 10
  • 10
  • 10
  • 9
  • 9
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

Plasma-unterstützte Herstellung von Übergangsmetallnitriden

Ganin, Alexey, January 2005 (has links)
Stuttgart, Univ., Diss., 2005.
2

Characterization of Sputtered Ta and TaN Films by Spectroscopic Ellipsometry

Waechtler, Thomas, Gruska, Bernd, Zimmermann, Sven, Schulz, Stefan E., Gessner, Thomas 18 June 2007 (has links) (PDF)
Spectroscopic ellipsometry is emerging as a routine tool for in-situ and ex-situ thin-film characterization in semiconductor manufacturing. For interconnects in ULSI circuits, diffusion barriers of below 10&nbsp;nm thickness are required and precise thickness control of the deposited layers is indispensable. In this work, we studied single films of tantalum and two stoichiometries of tantalum nitride as well as TaN/Ta film stacks both on bare and oxidized silicon. Spectroscopic ellipsometry from the UV to the NIR was applied to determine the optical properties of the films for subsequent modeling by a Lorentz-Drude approach. These models were successfully applied to TaN/Ta thin-film stacks where the values of the film thickness could be determined exactly. Moreover, it is shown that considerable differences in the optical properties arise from both film thickness and substrate. <br> <br> ©2006 IEEE. Personal use of this material is permitted. However, permission to reprint/republish this material for advertising or promotional purposes or for creating new collective works for resale or redistribution to servers or lists, or to reuse any copyrighted component of this work in other works must be obtained from the IEEE. <br>
3

Beeinflussung funktionaler Schichteigenschaften bei der thermischen Atomlagenabscheidung von Tantalnitrid sowie Ruthenium / Influence of functional layer properties at the atomic layer deposition of tantalnitride and ruthenium

Walther, Tillmann 03 June 2015 (has links) (PDF)
Thermische TaN ALD mit den Präkursoren TBTDET und TBTEMT, NH3 als zweiten Reaktanten und Ar als inertes Spülgas ist untersucht worden. Als Messverfahren zur Bewertung ist zeitlich aufgelöste in-situ spektroskopische Ellipsometrie mit einer Datenerfassungsrate von 0,86 Datenpunkte/s, sowie in-vacuo XPS und AFM verwendet worden. Es konnten sehr glatte homogene geschlossene TaN-Dünnschichten mit einem Ta:N-Verhältnis von 0,6, -Verunreinigungen von ca. 5 at.% (TBTDET) bzw. 9 at.% (TBTEMT) und einem GPC von ca. 0,6 nm/Zyklus im linearen Wachstumsbereich hergestellt werden. Eine O3-Vorbehandlung einer SiO2-Oberfläche beschleunigt die initiale Phase der TaN-Abscheidung. Die abgeschiedenen TaN-Schichten zeigen sich sehr reaktiv auf O2. / Thermal ALD with the precursors TBTDET and TBTEMT, NH3 as the second reactant and Ar as inert purging gas was studied. For measuring purposes time-resolved in-situ spectroscopic ellipsometry with an data acquisition rate of 0,86 data points/s, in-vacuo XPS and AFM was used. It was possible to deposit very smmoth homogenous closed TaN thin films with a Ta:N rate of about 0,6, contaminations of 5 at.% (TBTDET) and 9 at.% (TBTEMT), respectively, and a GPC of about 0,6 nm/Zyklus. An O3 pretreatment of a SiO2 surface accelerated the initial phase of the TaN atomic layer deposition (ALD) deposition. These TaN-Schichten were very reactiv against O2.
4

Herstellung, Charakterisierung und Bewertung leitfähiger Diffusionsbarrieren auf Basis von Tantal, Titan und Wolfram für die Kupfermetallisierung von Siliciumschaltkreisen / Preparation, characterization and evaluation of conductive diffusion barriers based on tantalum, titanium and tungsten for the copper metallization of silicon integrated circuits

Baumann, Jens 16 May 2004 (has links) (PDF)
Summary (english): The thesis investigates the potential of thin films of Ta, Ti and W and their nitrides to suppress copper induced interactions in the contact area to silicon. Possible interactions between Cu and gaseos or solid materials within preparation and lifetime of an integrated circuit are summarized. The degradation mechanisms to be expected are the solution of Cu in Si and the formation of Cu3Si. Thin conductive diffusion barriiers are needed to suppress this mechanisms. The requirements on these barriers are discussed. The most important criterion, their resistivity, is determined by the place of application. The resitivity has to be lower than 100 mOhmcm for contacts and lower than 2000 mikroOhmcm for vias. The materials to be separated by a diffusion barrier can pass it by diffusion or the diffusion barrier can be destroyed by reaction (reactive diffusion). Therefore one can distinguish in passive and sacrificial barriers. The thin films were prepared by magnetron sputtering in Ar or Ar/N2 mixture. The films were characterized with respect to composition, phase/structure as well as their resulting electrical, optical, and mechanical properties. The appearance of new phases correlates with changes in process parameters like target voltage and condensation rate. All films - except for a small process window for amorphous/nanocrystalline WNx films - are polycrystalline. The influence of annealing steps in different ambients is investigated. Amorphous/nanocrystalline WNx films do recrystallize during annealing. For a direct contact of Cu to Si a sufficient energy supply during Cu depotsition or during following annealing (T> 200 °C) results in the formation of Cu3Si. The potential of diffusion barriers of different thicknesses and nitrogen contents to suppress this reaction is investigated for annealing steps up to 650 °C. The characterization is performed by analytical methods, sheet resistance measurements as well as leakage current measurements (pn, np and schottky diodes). A diffusion barrier is able to suppress the Cu3Si formation, until itself is consumed by silicidation or intermetallic phase formation. The metal nitrides are more stable, since the present metal nitrogen bonding has to be broken before these reactions can start. With the failure of a diffusion barrier a Cu Si contact occurs with the consequence of copper silicide formation. The silicidation can be either homogeneous (on a large area) or in the form of crystallites several mikrometers in diameter. The distance between the crystallites is up to several 100 mikrometers. It is shown, that results of a barrier evaluation can be paradox if different methods are applied to the same sample. The diffusion of Cu accross a barrier into Si can be shown using analytical methods, already before the formation of Cu3Si. However, the leakage current of pn or schottky diodes is not or not unequivocal modified by this diffusion. The leakage current does not change before the diodes are shorted by the Cu3Si formation. The results of parallel prepared references with Al metallization show, that the diffusion barriers are more stable in a Cu metallization than in an Al metallization. / Zusammenfassung (detusch): Die Arbeit beschreibt das Potential von Schichten des Ta, Ti, W und ihrer Nitride zur Unterdrückung kupferinduzierter Degradationen im Kontakt zu Silicium. Mögliche Wechselwirkungen zwischen Cu und den im Herstellungsprozess sowie der Lebensdauer von Schaltkreisen präsenten Gasen und Feststoffen werden zusammengestellt. Für das System Cu-Si sind als Degradationsmechanismen die Lösung von Cu und die Cu3Si Bildung zu erwarten. Die Anforderungen an die zur Unterdrückung der Degradationen notwendigen leitfähigen Diffusionsbarrieren werden diskutiert. Ihr spezifischer elektrischer Widerstand als wichtigstes Kriterium für die Integration wird vom Einsatzort bestimmt. Er muss für Kontakte unter 100 mOhm cm und für Vias unter 2000 mikroOhmcm liegen. Diffusionsbarrieren können von den zu trennenden Materialien durch Diffusion überwunden oder durch Reaktion (reaktive Diffusion) aufgezehrt werden. Damit kann in passive und Opferbarrieren unterschieden werden. Die Schichtherstellung erfolgt mit dem Verfahren der Magnetronzerstäubung in Ar oder Ar/N2 Atmosphäre. Sie werden hinsichtlich ihrer Zusammensetzung, Phase/Struktur sowie resultierender elektrischer, optischer und mechanischer Eigenschaften charakterisiert. Das Auftreten neuer Phasen korreliert mit Verlaufsänderungen einfach zugänglicher Prozessparameter wie Targetspannung und Kondensationsrate. Alle Schichten mit Ausnahme eines engen Prozessfensters für amorphes/nanokristallines WNx sind polykristallin. Der Einfluss von Temperungen in verschiedenen Medien wird untersucht. Amorphe/nanokristalline WNx Schichten rekristallisieren während Temperung. Für direkten Kontakt Cu zu Si führt ausreichende Energiezufuhr schon während der Abscheidung oder während nachfolgender Temperung (T> 200 °C) zur Cu3Si Bildung. Das Potential der Diffusionsbarrieren zur Unterdrückung dieser Reaktion wird für unterschiedliche Dicken und Stickstoffgehalte nach Temperungen bis maximal 650 °C untersucht. Dazu werden analytische Methoden, Schichtwiderstandsmessungen und Sperrstromdichtemessungen an pn, np und Schottkydioden eingesetzt. Die Diffusionsbarrieren können die Cu3Si Bildung unterdrücken, bis sie selbst durch Silicierung und/oder intermetallische Phasenbildung aufgezehrt sind. Die Nitride der Metalle sind thermisch stabiler, weil Metall Stickstoff Bindungen erst aufgebrochen werden müssen. Mit dem Versagen der Barrieren treffen Cu und Si zusammen - mit der Folge der Kupfersilicidbildung. Sie kann grossflächig oder in Form mikrometergrosser und einige 100 mikrometer voneinander entfernt liegender Kristallite stattfinden. Für beide Degradationsmechanismen kann gezeigt werden, dass eine Barrierebewertung für unterschiedliche Methoden paradoxe Ergebnisse liefern kann. Die Cu Diffusion über die Diffusionsbarriere in das Si kann mit analytischen Methoden schon vor der Cu3Si Bildung nachgewiesen werden. Der Sperrstrom von pn oder Schottkydioden wird dadurch nicht bzw. nicht eindeutig verändert. Er reagiert erst, wenn sie durch Cu3Si Wachstum kurzgeschlossen sind. Ergebnisse parallel präparierter Referenzen mit Al Metallisierung belegen, dass die Diffusionsbarrieren gegen Cu gleich oder besser wirken als gegen Al.
5

Herstellung, Charakterisierung und Bewertung leitfähiger Diffusionsbarrieren auf Basis von Tantal, Titan und Wolfram für die Kupfermetallisierung von Siliciumschaltkreisen / Preparation, characterization and evaluation of conductive diffusion barriers based on tantalum, titanium and tungsten for the copper metallization of silicon integrated circuits

Baumann, Jens 21 May 2004 (has links) (PDF)
The thesis investigates the potential of thin films of Ta, Ti and W and their nitrides to suppress copper induced interactions in the contact area to silicon. Possible interactions between Cu and gaseos or solid materials within preparation and lifetime of an integrated circuit are summarized. The degradation mechanisms to be expected are the solution of Cu in Si and the formation of Cu3Si. Thin conductive diffusion barriiers are needed to suppress this mechanisms. The requirements on these barriers are discussed. The most important criterion, their resistivity, is determined by the place of application. The resitivity has to be lower than 100 mOhmcm for contacts and lower than 2000 mikroOhmcm for vias. The materials to be separated by a diffusion barrier can pass it by diffusion or the diffusion barrier can be destroyed by reaction (reactive diffusion). Therefore one can distinguish in passive and sacrificial barriers. The thin films were prepared by magnetron sputtering in Ar or Ar/N2 mixture. The films were characterized with respect to composition, phase/structure as well as their resulting electrical, optical, and mechanical properties. The appearance of new phases correlates with changes in process parameters like target voltage and condensation rate. All films - except for a small process window for amorphous/nanocrystalline WNx films - are polycrystalline. The influence of annealing steps in different ambients is investigated. Amorphous/nanocrystalline WNx films do recrystallize during annealing. For a direct contact of Cu to Si a sufficient energy supply during Cu depotsition or during following annealing (T> 200 °C) results in the formation of Cu3Si. The potential of diffusion barriers of different thicknesses and nitrogen contents to suppress this reaction is investigated for annealing steps up to 650 C. The characterization is performed by analytical methods, sheet resistance measurements as well as leakage current measurements (pn, np and schottky diodes). A diffusion barrier is able to suppress the Cu3Si formation, until itself is consumed by silicidation or intermetallic phase formation. The metal nitrides are more stable, since the present metal nitrogen bonding has to be broken before these reactions can start. With the failure of a diffusion barrier a Cu Si contact occurs with the consequence of copper silicide formation. The silicidation can be either homogeneous (on a large area) or in the form of crystallites several mikrometers in diameter. The distance between the crystallites is up to several 100 mikrometers. It is shown, that results of a barrier evaluation can be paradox if different methods are applied to the same sample. The diffusion of Cu accross a barrier into Si can be shown using analytical methods, already before the formation of Cu3Si. However, the leakage current of pn or schottky diodes is not or not unequivocal modified by this diffusion. The leakage current does not change before the diodes are shorted by the Cu3Si formation. The results of parallel prepared references with Al metallization show, that the diffusion barriers are more stable in a Cu metallization than in an Al metallization. (copying allowed) new: pdf version 1.4 / Die Arbeit beschreibt das Potential von Schichten des Ta, Ti, W und ihrer Nitride zur Unterdrückung kupferinduzierter Degradationen im Kontakt zu Silicium. Mögliche Wechselwirkungen zwischen Cu und den im Herstellungsprozess sowie der Lebensdauer von Schaltkreisen präsenten Gasen und Feststoffen werden zusammengestellt. Für das System Cu-Si sind als Degradationsmechanismen die Lösung von Cu und die Cu3Si Bildung zu erwarten. Die Anforderungen an die zur Unterdrückung der Degradationen notwendigen leitfähigen Diffusionsbarrieren werden diskutiert. Ihr spezifischer elektrischer Widerstand als wichtigstes Kriterium für die Integration wird vom Einsatzort bestimmt. Er muss für Kontakte unter 100 mOhm cm und für Vias unter 2000 mikroOhmcm liegen. Diffusionsbarrieren können von den zu trennenden Materialien durch Diffusion überwunden oder durch Reaktion (reaktive Diffusion) aufgezehrt werden. Damit kann in passive und Opferbarrieren unterschieden werden. Die Schichtherstellung erfolgt mit dem Verfahren der Magnetronzerstäubung in Ar oder Ar/N2 Atmosphäre. Sie werden hinsichtlich ihrer Zusammensetzung, Phase/Struktur sowie resultierender elektrischer, optischer und mechanischer Eigenschaften charakterisiert. Das Auftreten neuer Phasen korreliert mit Verlaufsänderungen einfach zugänglicher Prozessparameter wie Targetspannung und Kondensationsrate. Alle Schichten mit Ausnahme eines engen Prozessfensters für amorphes/nanokristallines WNx sind polykristallin. Der Einfluss von Temperungen in verschiedenen Medien wird untersucht. Amorphe/nanokristalline WNx Schichten rekristallisieren während Temperung. Für direkten Kontakt Cu zu Si führt ausreichende Energiezufuhr schon während der Abscheidung oder während nachfolgender Temperung (T > 200 °C) zur Cu3Si Bildung. Das Potential der Diffusionsbarrieren zur Unterdrückung dieser Reaktion wird für unterschiedliche Dicken und Stickstoffgehalte nach Temperungen bis maximal 650 C untersucht. Dazu werden analytische Methoden, Schichtwiderstandsmessungen und Sperrstromdichtemessungen an pn, np und Schottkydioden eingesetzt. Die Diffusionsbarrieren können die Cu3Si Bildung unterdrücken, bis sie selbst durch Silicierung und/oder intermetallische Phasenbildung aufgezehrt sind. Die Nitride der Metalle sind thermisch stabiler, weil Metall Stickstoff Bindungen erst aufgebrochen werden müssen. Mit dem Versagen der Barrieren treffen Cu und Si zusammen - mit der Folge der Kupfersilicidbildung. Sie kann grossflächig oder in Form mikrometergrosser und einige 100 mikrometer voneinander entfernt liegender Kristallite stattfinden. Für beide Degradationsmechanismen kann gezeigt werden, dass eine Barrierebewertung für unterschiedliche Methoden paradoxe Ergebnisse liefern kann. Die Cu Diffusion über die Diffusionsbarriere in das Si kann mit analytischen Methoden schon vor der Cu3Si Bildung nachgewiesen werden. Der Sperrstrom von pn oder Schottkydioden wird dadurch nicht bzw. nicht eindeutig verändert. Er reagiert erst, wenn sie durch Cu3Si Wachstum kurzgeschlossen sind. Ergebnisse parallel präparierter Referenzen mit Al Metallisierung belegen, dass die Diffusionsbarrieren gegen Cu gleich oder besser wirken als gegen Al. (Kopiermöglichkeit) neu: PDF-Version 1.4
6

Herstellung, Charakterisierung und Bewertung leitfähiger Diffusionsbarrieren auf Basis von Tantal, Titan und Wolfram für die Kupfermetallisierung von Siliciumschaltkreisen

Baumann, Jens, January 2004 (has links)
Chemnitz, Techn. Univ., Diss., 2003. / Auch im Shaker-Verl. ersch. u.d.Titel: Herstellung, Charakterisierung und Bewertung von leitfähigen Diffusionsbarrieren auf Basis von Ta, Ti und W für die Kupfermetallisierung von Siliciumschaltkreisen, 2004 (3-8322-2532-3).
7

Characterization of Sputtered Ta and TaN Films by Spectroscopic Ellipsometry

Waechtler, Thomas, Gruska, Bernd, Zimmermann, Sven, Schulz, Stefan E., Gessner, Thomas 18 June 2007 (has links)
Spectroscopic ellipsometry is emerging as a routine tool for in-situ and ex-situ thin-film characterization in semiconductor manufacturing. For interconnects in ULSI circuits, diffusion barriers of below 10&nbsp;nm thickness are required and precise thickness control of the deposited layers is indispensable. In this work, we studied single films of tantalum and two stoichiometries of tantalum nitride as well as TaN/Ta film stacks both on bare and oxidized silicon. Spectroscopic ellipsometry from the UV to the NIR was applied to determine the optical properties of the films for subsequent modeling by a Lorentz-Drude approach. These models were successfully applied to TaN/Ta thin-film stacks where the values of the film thickness could be determined exactly. Moreover, it is shown that considerable differences in the optical properties arise from both film thickness and substrate. <br> <br> ©2006 IEEE. Personal use of this material is permitted. However, permission to reprint/republish this material for advertising or promotional purposes or for creating new collective works for resale or redistribution to servers or lists, or to reuse any copyrighted component of this work in other works must be obtained from the IEEE. <br>
8

Beeinflussung funktionaler Schichteigenschaften bei der thermischen Atomlagenabscheidung von Tantalnitrid sowie Ruthenium

Walther, Tillmann 03 April 2014 (has links)
Thermische TaN ALD mit den Präkursoren TBTDET und TBTEMT, NH3 als zweiten Reaktanten und Ar als inertes Spülgas ist untersucht worden. Als Messverfahren zur Bewertung ist zeitlich aufgelöste in-situ spektroskopische Ellipsometrie mit einer Datenerfassungsrate von 0,86 Datenpunkte/s, sowie in-vacuo XPS und AFM verwendet worden. Es konnten sehr glatte homogene geschlossene TaN-Dünnschichten mit einem Ta:N-Verhältnis von 0,6, -Verunreinigungen von ca. 5 at.% (TBTDET) bzw. 9 at.% (TBTEMT) und einem GPC von ca. 0,6 nm/Zyklus im linearen Wachstumsbereich hergestellt werden. Eine O3-Vorbehandlung einer SiO2-Oberfläche beschleunigt die initiale Phase der TaN-Abscheidung. Die abgeschiedenen TaN-Schichten zeigen sich sehr reaktiv auf O2.:1. Einleitung 1 I. Theorie 4 2. Anwendungsfelder von TaN & Ru-ALD-Dünnschichten 5 2.1. Anwendungsfelder von TaN ALD Dünnschichten 5 2.2. Anwendungsfelder von Ru ALD Dünnschichten 5 2.3. TaN/Ru-Schichtstapel als Cu-Diffusionsbarriere 6 3. Atomlagenabscheidung (ALD) 8 3.1. Idealisiertes Grundprinzip der ALD 8 3.2. Mögliche Nichtidealitäten eines ALD-Prozesses 10 3.3. Klassifikation von ALD-Prozessen 12 3.4. TaN-Abscheidung mithilfe eines thermischen TBTDET bzw. TBTEMT und NH3-Prozesses 13 3.5. Ru-Abscheidung mithilfe eines ALD-Prozesses 16 4. Grundlagen von Schichtcharakterisierungsmethoden 17 4.1. Spektroskopische Ellipsometrie (SE) 17 4.2. Röntgenphotonenelektronenspektroskopie (XPS) 19 4.3. Rasterkraftmikroskopie im nicht-Kontakt-Modus (non-contact AFM) 20 4.4. Vierspitzenprober (4PP) 21 II. Praxis 23 5. Experimentelle Methodik 24 5.1. ALD-Reaktor mit in-situ Ellipsometer und in-vacuo XPS und AFM/STM 24 5.1.1. Prozesskammer 24 5.1.2. In-situ Ellipsometer und in-vakuo Messtechnik 24 5.1.3. Bei ALD TaN-Prozessen verwendete Parameter 25 5.2. ALD-Reaktor mit Blitzlampenfeld für Blitz-ALD 26 5.3. Vorgehensweise bei der in-situ Ellipsometrie 27 5.3.1. Übersicht 27 5.3.2. Details zur Datenerfassung 29 5.3.3. Details zur optischen Modellierung 32 5.3.4. Datennachbearbeitung: Erstellung von ALD-Zyklus-Wachstums Diagrammen 40 5.3.5. Datennachbearbeitung: Extrahierung von Parametern aus ALDZyklus-Wachstums Diagrammen 41 5.3.6. Fehlerbetrachtung 43 5.4. Vorgehensweise bei XPS-Experimenten 43 5.5. Weitere verwendete ex-situ Messtechniken 45 5.6. O2-Aufnahme einer abgeschiedenen TaN-Schicht 46 6. Thermische ALD TaN Schichtuntersuchungen an iSE-ALD-Anlage 47 6.1. O3-Vorbehandlung 47 6.1.1. Einführung 47 6.1.2. Auswirkungen auf natives und thermisches SiO2 47 6.1.3. Temperatureinfluss 49 6.2. Analyse mithilfe von Präkursor TBTDET abgeschiedener thermischer ALD TaN Dünnschichten 50 6.2.1. Verwendete Prozessparameter 50 6.2.2. Initialer (heterogener) Wachstumsbereich 51 6.2.3. Linearer (homogener) Wachstumsbereich 52 6.2.4. CVD-Verhalten von TBTDET bei 160 und 210 C 55 6.2.5. Nachbehandlungen (Tempern und O2-Aufnahme) 56 6.2.6. Fazit 58 6.3. Analyse mithilfe von Präkursor TBTEMT abgeschiedener thermischer ALD TaN Dünnschichten 58 6.3.1. Initialer (heterogener) Wachstumsbereich 58 6.3.2. Linearer (homogener) Wachstumsbereich 60 6.3.3. Nachbehandlung mit O2 64 6.3.4. Fazit 64 6.4. Vergleich der Präkursoren TBTDET & TBTEMT für die thermische TaN-ALD 66 6.4.1. Einführung 66 6.4.2. Vergleich XPS-Ergebnisse & O2-Aufnahme 68 6.4.3. Vergleich iSE-Ergebnisse 68 6.4.4. Vergleich AFM-Ergebnisse 70 6.4.5. Fazit 70 7. Prozessentwicklung an Flash-ALD-Anlage 72 7.1. Einführung 72 7.2. Temperaturvariation 73 7.3. Pulszeitvariationen 74 7.4. Eigenzersetzung von TBTEMT (CVD-Abscheidung) 77 7.5. Zusammenfassung zur Prozessentwicklung 78 7.6. Erste Ergebnisse zum Blitzeinfluss 78 7.6.1. Einführung 78 7.6.2. Rauheit (AFM-Ergebnisse) 79 7.6.3. chemische Zusammensetzung(XPS-Ergebnisse) 80 8. Zusammenfassung und Ausblick 82 III. Anhang 84 A. XPS-Ergebnis von O2-Nachbehandlung mit Präkursor TBTEMT 85 Literaturverzeichnis 86 / Thermal ALD with the precursors TBTDET and TBTEMT, NH3 as the second reactant and Ar as inert purging gas was studied. For measuring purposes time-resolved in-situ spectroscopic ellipsometry with an data acquisition rate of 0,86 data points/s, in-vacuo XPS and AFM was used. It was possible to deposit very smmoth homogenous closed TaN thin films with a Ta:N rate of about 0,6, contaminations of 5 at.% (TBTDET) and 9 at.% (TBTEMT), respectively, and a GPC of about 0,6 nm/Zyklus. An O3 pretreatment of a SiO2 surface accelerated the initial phase of the TaN atomic layer deposition (ALD) deposition. These TaN-Schichten were very reactiv against O2.:1. Einleitung 1 I. Theorie 4 2. Anwendungsfelder von TaN & Ru-ALD-Dünnschichten 5 2.1. Anwendungsfelder von TaN ALD Dünnschichten 5 2.2. Anwendungsfelder von Ru ALD Dünnschichten 5 2.3. TaN/Ru-Schichtstapel als Cu-Diffusionsbarriere 6 3. Atomlagenabscheidung (ALD) 8 3.1. Idealisiertes Grundprinzip der ALD 8 3.2. Mögliche Nichtidealitäten eines ALD-Prozesses 10 3.3. Klassifikation von ALD-Prozessen 12 3.4. TaN-Abscheidung mithilfe eines thermischen TBTDET bzw. TBTEMT und NH3-Prozesses 13 3.5. Ru-Abscheidung mithilfe eines ALD-Prozesses 16 4. Grundlagen von Schichtcharakterisierungsmethoden 17 4.1. Spektroskopische Ellipsometrie (SE) 17 4.2. Röntgenphotonenelektronenspektroskopie (XPS) 19 4.3. Rasterkraftmikroskopie im nicht-Kontakt-Modus (non-contact AFM) 20 4.4. Vierspitzenprober (4PP) 21 II. Praxis 23 5. Experimentelle Methodik 24 5.1. ALD-Reaktor mit in-situ Ellipsometer und in-vacuo XPS und AFM/STM 24 5.1.1. Prozesskammer 24 5.1.2. In-situ Ellipsometer und in-vakuo Messtechnik 24 5.1.3. Bei ALD TaN-Prozessen verwendete Parameter 25 5.2. ALD-Reaktor mit Blitzlampenfeld für Blitz-ALD 26 5.3. Vorgehensweise bei der in-situ Ellipsometrie 27 5.3.1. Übersicht 27 5.3.2. Details zur Datenerfassung 29 5.3.3. Details zur optischen Modellierung 32 5.3.4. Datennachbearbeitung: Erstellung von ALD-Zyklus-Wachstums Diagrammen 40 5.3.5. Datennachbearbeitung: Extrahierung von Parametern aus ALDZyklus-Wachstums Diagrammen 41 5.3.6. Fehlerbetrachtung 43 5.4. Vorgehensweise bei XPS-Experimenten 43 5.5. Weitere verwendete ex-situ Messtechniken 45 5.6. O2-Aufnahme einer abgeschiedenen TaN-Schicht 46 6. Thermische ALD TaN Schichtuntersuchungen an iSE-ALD-Anlage 47 6.1. O3-Vorbehandlung 47 6.1.1. Einführung 47 6.1.2. Auswirkungen auf natives und thermisches SiO2 47 6.1.3. Temperatureinfluss 49 6.2. Analyse mithilfe von Präkursor TBTDET abgeschiedener thermischer ALD TaN Dünnschichten 50 6.2.1. Verwendete Prozessparameter 50 6.2.2. Initialer (heterogener) Wachstumsbereich 51 6.2.3. Linearer (homogener) Wachstumsbereich 52 6.2.4. CVD-Verhalten von TBTDET bei 160 und 210 C 55 6.2.5. Nachbehandlungen (Tempern und O2-Aufnahme) 56 6.2.6. Fazit 58 6.3. Analyse mithilfe von Präkursor TBTEMT abgeschiedener thermischer ALD TaN Dünnschichten 58 6.3.1. Initialer (heterogener) Wachstumsbereich 58 6.3.2. Linearer (homogener) Wachstumsbereich 60 6.3.3. Nachbehandlung mit O2 64 6.3.4. Fazit 64 6.4. Vergleich der Präkursoren TBTDET & TBTEMT für die thermische TaN-ALD 66 6.4.1. Einführung 66 6.4.2. Vergleich XPS-Ergebnisse & O2-Aufnahme 68 6.4.3. Vergleich iSE-Ergebnisse 68 6.4.4. Vergleich AFM-Ergebnisse 70 6.4.5. Fazit 70 7. Prozessentwicklung an Flash-ALD-Anlage 72 7.1. Einführung 72 7.2. Temperaturvariation 73 7.3. Pulszeitvariationen 74 7.4. Eigenzersetzung von TBTEMT (CVD-Abscheidung) 77 7.5. Zusammenfassung zur Prozessentwicklung 78 7.6. Erste Ergebnisse zum Blitzeinfluss 78 7.6.1. Einführung 78 7.6.2. Rauheit (AFM-Ergebnisse) 79 7.6.3. chemische Zusammensetzung(XPS-Ergebnisse) 80 8. Zusammenfassung und Ausblick 82 III. Anhang 84 A. XPS-Ergebnis von O2-Nachbehandlung mit Präkursor TBTEMT 85 Literaturverzeichnis 86
9

ALD of Copper and Copper Oxide Thin Films For Applications in Metallization Systems of ULSI Devices

Waechtler, Thomas, Oswald, Steffen, Roth, Nina, Lang, Heinrich, Schulz, Stefan E., Gessner, Thomas 15 July 2008 (has links) (PDF)
<p> As a possible alternative for growing seed layers required for electrochemical Cu deposition of metallization systems in ULSI circuits, the atomic layer deposition (ALD) of Cu is under consideration. To avoid drawbacks related to plasma-enhanced ALD (PEALD), thermal growth of Cu has been proposed by two-step processes forming copper oxide films by ALD which are subsequently reduced. </p> <p> This talk, given at the 8th International Conference on Atomic Layer Deposition (ALD 2008), held in Bruges, Belgium from 29 June to 2 July 2008, summarizes the results of thermal ALD experiments from [(<sup><i>n</i></sup>Bu<sub>3</sub>P)<sub>2</sub>Cu(acac)] precursor and wet O<sub>2</sub>. The precursor is of particular interest as it is a liquid at room temperature and thus easier to handle than frequently utilized solids such as Cu(acac)<sub>2</sub>, Cu(hfac)<sub>2</sub> or Cu(thd)<sub>2</sub>. Furthermore the substance is non-fluorinated, which helps avoiding a major source of adhesion issues repeatedly observed in Cu CVD. </p> <p> As result of the ALD experiments, we obtained composites of metallic and oxidized Cu on Ta and TaN, which was determined by angle-resolved XPS analyses. While smooth, adherent films were grown on TaN in an ALD window up to about 130°C, cluster-formation due to self-decomposition of the precursor was observed on Ta. We also recognized a considerable dependency of the growth on the degree of nitridation of the TaN. In contrast, smooth films could be grown up to 130°C on SiO<sub>2</sub> and Ru, although in the latter case the ALD window only extends to about 120°C. To apply the ALD films as seed layers in subsequent electroplating processes, several reduction processes are under investigation. Thermal and plasma-assisted hydrogen treatments are studied, as well as thermal treatments in vapors of isopropanol, formic acid, and aldehydes. So far these attempts were most promising using formic acid at temperatures between 100 and 120°C, also offering the benefit of avoiding agglomeration of the very thin ALD films on Ta and TaN. In this respect, the process sequence shows potential for depositing ultra-thin, smooth Cu films at temperatures below 150°C. </p>
10

Copper Oxide ALD from a Cu(I) <beta>-Diketonate: Detailed Growth Studies on SiO2 and TaN

Waechtler, Thomas, Roth, Nina, Mothes, Robert, Schulze, Steffen, Schulz, Stefan E., Gessner, Thomas, Lang, Heinrich, Hietschold, Michael 03 November 2009 (has links) (PDF)
The atomic layer deposition (ALD) of copper oxide films from [(<sup>n</sup>Bu<sub>3</sub>P)<sub>2</sub>Cu(acac)] and wet oxygen on SiO<sub>2</sub> and TaN has been studied in detail by spectroscopic ellipsometry and atomic force microscopy. The results suggest island growth on SiO<sub>2</sub>, along with a strong variation of the optical properties of the films in the early stages of the growth and signs of quantum confinement, typical for nanocrystals. In addition, differences both in growth behavior and film properties appear on dry and wet thermal SiO<sub>2</sub>. Electron diffraction together with transmission electron microscopy shows that nanocrystalline Cu<sub>2</sub>O with crystallites < 5 nm is formed, while upon prolonged electron irradiation the films decompose and metallic copper crystallites of approximately 10 nm precipitate. On TaN, the films grow in a linear, layer-by-layer manner, reproducing the initial substrate roughness. Saturated growth obtained at 120&deg;C on TaN as well as dry and wet SiO<sub>2</sub> indicates well-established ALD growth regimes. <br> &copy; 2009 The Electrochemical Society. All rights reserved.

Page generated in 0.0618 seconds