• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 26
  • 1
  • Tagged with
  • 27
  • 22
  • 20
  • 20
  • 20
  • 20
  • 20
  • 20
  • 18
  • 16
  • 15
  • 15
  • 15
  • 14
  • 14
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

Thermodiffusion in binären und ternären Oxiden

Timm, Hauke. January 1999 (has links) (PDF)
Hannover, Universiẗat, Diss., 1998.
2

Complex oxides of the system Cu-Ni-Fe-O: synthesis parameters, phase formation and properties

Kenfack, Flaurance. Unknown Date (has links) (PDF)
Techn. University, Diss., 2004--Dresden.
3

Structural investigation of quaternary copper oxides with low dimensional magnetic properties

Sparta, Karine. Unknown Date (has links) (PDF)
Techn. Hochsch., Diss., 2003--Aachen.
4

In-situ XPS Investigation of the Surface Chemistry of a Cu(I) Beta-Diketonate Precursor and the ALD of Cu2O

Dhakal, Dileep, Waechtler, Thomas, E. Schulz, Stefan, Mothes, Robert, Lang, Heinrich, Gessner, Thomas 07 July 2014 (has links) (PDF)
This poster was presented in the Materials for Advanced Metallization (MAM) 2014 Conference in Chemnitz, Germany. Abstract: Atomic Layer Deposition (ALD) has emerged as an ubiquitous method for the deposition of conformal and homogeneous ultra-thin films on complex topographies and large substrates in microelectronics. Electrochemical deposition (ECD) is the first choice for the deposition of copper (Cu) into the trenches and vias of the interconnect system for ULSI circuits. The ECD of Cu necessitates an electrically conductive seed layer for filling the interconnect structures. ALD is now considered as a solution for conformal deposition of Cu seed layers on very high aspect ratio (AR) structures also for technology nodes below 20 nm, since physical vapor deposition is not applicable for structures with high AR. Cu seed layer deposition by the reduction of Cu2O, which has been deposited from the Cu(I) β-diketonate precursor [(nBu3P)2Cu(acac)], has been successfully carried out on different substrates like Ta, TaN, SiO2, and Ru [1, 2]. However, still many questions are unanswered regarding the underlying surface chemistry of the precursor on many substrates, leading to different growth modes during ALD. In this work, the surface chemistry of [(nBu3P)2Cu(acac)] on SiO2 substrate is investigated by in-situ X-ray photoelectron spectroscopy (XPS), reporting vital information about the oxidation state and the atomic concentration after chemisorption on the substrates kept at different temperatures. The aim of the investigation is to understand the stepwise change in the precursor oxidation state with increasing substrate temperature and to identify the temperature limit for the thermal ALD with this Cu precursor on SiO2. For the experiments, the Cu precursor was evaporated on SiO2 substrates kept at temperatures between 22 °C and 300 °C. The measured C/Cu and P/Cu concentration indicated that most of the nBu3P ligands were released either in the gas phase or during adsorption (Fig. 1a). No disproportionation was observed for the Cu precursor in the temperature range between 22 °C and 145 °C. Similarly, in this temperature range the Auger parameter calculated from Cu 2p3/2 and Cu L3VV spectra was found to be 360.0±0.2 eV, comparable to Cu(I) oxidation state [3]. However, disproportionation of the Cu precursor was observed above 200 °C, since C/Cu concentration ratio decreased and substantial metallic Cu was present on the substrate. Hence, 145 °C is the temperature limit for the ALD of Cu2O from this precursor, as the precursor must not alter its chemical state after chemisorption on the substrate. 500 ALD cycles with the probed Cu precursor and wet O2 as co reactant were carried out on SiO2 at 145 °C. After ALD, in situ XPS analysis confirmed the presence of Cu2O on the substrate. Ex-situ spectroscopic ellipsometry indicated an average film thickness of 2.5 nm of Cu2O deposited with a growth per cycle of 0.05 Å/cycle, comparable to previous experiments. References: [1] T. Waechtler, S. Oswald, N. Roth, A. Jakob, H. Lang, R. Ecke, S. E. Schulz, T. Gessner, A. Moskvinova, S. Schulze, M. Hietschold, J. Electrochem. Soc., 156 (6), H453 (2009). [2] T. Waechtler, S. -F. Ding, L. Hofmann, R. Mothes, Q. Xie, S. Oswald, C. Detavernier, S. E. Schulz, X. -P. Qu, H. Lang, T. Gessner, Microelectron. Eng., 88, 684 (2011). [3] J. P. Espinós, J. Morales, A. Barranco, A. Caballero, J. P. Holgado, A. R. González Elipe, J. Phys. Chem. B, 106, 6921 (2002).
5

Resonant and high energy X-ray scattering studies on strongly correlated electron systems in transition metal oxides

Zegkinoglou, Ioannis, January 2007 (has links)
Stuttgart, Univ., Diss., 2007.
6

In-situ XPS Investigation of the Surface Chemistry of a Cu(I) Beta-Diketonate Precursor and the ALD of Cu2O

Dhakal, Dileep, Waechtler, Thomas, E. Schulz, Stefan, Mothes, Robert, Lang, Heinrich, Gessner, Thomas 07 July 2014 (has links)
This poster was presented in the Materials for Advanced Metallization (MAM) 2014 Conference in Chemnitz, Germany. Abstract: Atomic Layer Deposition (ALD) has emerged as an ubiquitous method for the deposition of conformal and homogeneous ultra-thin films on complex topographies and large substrates in microelectronics. Electrochemical deposition (ECD) is the first choice for the deposition of copper (Cu) into the trenches and vias of the interconnect system for ULSI circuits. The ECD of Cu necessitates an electrically conductive seed layer for filling the interconnect structures. ALD is now considered as a solution for conformal deposition of Cu seed layers on very high aspect ratio (AR) structures also for technology nodes below 20 nm, since physical vapor deposition is not applicable for structures with high AR. Cu seed layer deposition by the reduction of Cu2O, which has been deposited from the Cu(I) β-diketonate precursor [(nBu3P)2Cu(acac)], has been successfully carried out on different substrates like Ta, TaN, SiO2, and Ru [1, 2]. However, still many questions are unanswered regarding the underlying surface chemistry of the precursor on many substrates, leading to different growth modes during ALD. In this work, the surface chemistry of [(nBu3P)2Cu(acac)] on SiO2 substrate is investigated by in-situ X-ray photoelectron spectroscopy (XPS), reporting vital information about the oxidation state and the atomic concentration after chemisorption on the substrates kept at different temperatures. The aim of the investigation is to understand the stepwise change in the precursor oxidation state with increasing substrate temperature and to identify the temperature limit for the thermal ALD with this Cu precursor on SiO2. For the experiments, the Cu precursor was evaporated on SiO2 substrates kept at temperatures between 22 °C and 300 °C. The measured C/Cu and P/Cu concentration indicated that most of the nBu3P ligands were released either in the gas phase or during adsorption (Fig. 1a). No disproportionation was observed for the Cu precursor in the temperature range between 22 °C and 145 °C. Similarly, in this temperature range the Auger parameter calculated from Cu 2p3/2 and Cu L3VV spectra was found to be 360.0±0.2 eV, comparable to Cu(I) oxidation state [3]. However, disproportionation of the Cu precursor was observed above 200 °C, since C/Cu concentration ratio decreased and substantial metallic Cu was present on the substrate. Hence, 145 °C is the temperature limit for the ALD of Cu2O from this precursor, as the precursor must not alter its chemical state after chemisorption on the substrate. 500 ALD cycles with the probed Cu precursor and wet O2 as co reactant were carried out on SiO2 at 145 °C. After ALD, in situ XPS analysis confirmed the presence of Cu2O on the substrate. Ex-situ spectroscopic ellipsometry indicated an average film thickness of 2.5 nm of Cu2O deposited with a growth per cycle of 0.05 Å/cycle, comparable to previous experiments. References: [1] T. Waechtler, S. Oswald, N. Roth, A. Jakob, H. Lang, R. Ecke, S. E. Schulz, T. Gessner, A. Moskvinova, S. Schulze, M. Hietschold, J. Electrochem. Soc., 156 (6), H453 (2009). [2] T. Waechtler, S. -F. Ding, L. Hofmann, R. Mothes, Q. Xie, S. Oswald, C. Detavernier, S. E. Schulz, X. -P. Qu, H. Lang, T. Gessner, Microelectron. Eng., 88, 684 (2011). [3] J. P. Espinós, J. Morales, A. Barranco, A. Caballero, J. P. Holgado, A. R. González Elipe, J. Phys. Chem. B, 106, 6921 (2002).
7

Catalytic study of copper based catalysts for steam reforming of methanol

Purnama, Herry. Unknown Date (has links) (PDF)
Techn. University, Diss., 2003--Berlin. / Erscheinungsjahr der Haupttitelstelle : 2003.
8

Copper oxide atomic layer deposition on thermally pretreated multi-walled carbon nanotubes for interconnect applications

Melzer, Marcel, Waechtler, Thomas, Müller, Steve, Fiedler, Holger, Hermann, Sascha, Rodriguez, Raul D., Villabona, Alexander, Sendzik, Andrea, Mothes, Robert, Schulz, Stefan E., Zahn, Dietrich R.T., Hietschold, Michael, Lang, Heinrich, Gessner, Thomas 22 May 2013 (has links) (PDF)
The following is the accepted manuscript of the original article: Marcel Melzer, Thomas Waechtler, Steve Müller, Holger Fiedler, Sascha Hermann, Raul D. Rodriguez, Alexander Villabona, Andrea Sendzik, Robert Mothes, Stefan E. Schulz, Dietrich R.T. Zahn, Michael Hietschold, Heinrich Lang and Thomas Gessner “Copper oxide atomic layer deposition on thermally pretreated multi-walled carbon nanotubes for interconnect applications”, Microelectron. Eng. 107, 223-228 (2013). Digital Object Identifier: 10.1016/j.mee.2012.10.026 Available via http://www.sciencedirect.com or http://dx.doi.org/10.1016/j.mee.2012.10.026 © 2013 Elsevier B.V. Carbon nanotubes (CNTs) are a highly promising material for future interconnects. It is expected that a decoration of the CNTs with Cu particles or also the filling of the interspaces between the CNTs with Cu can enhance the performance of CNT-based interconnects. The current work is therefore considered with thermal atomic layer deposition (ALD) of CuxO from the liquid Cu(I) β-diketonate precursor [(nBu3P)2Cu(acac)] and wet oxygen at 135°C. This paper focuses on different thermal in-situ pre-treatments of the CNTs with O2, H2O and wet O2 at temperatures up to 300°C prior to the ALD process. Analyses by transmission electron microscopy show that in most cases the CuxO forms particles on the multi-walled CNTs (MWCNTs). This behavior can be explained by the low affinity of Cu to form carbides. Nevertheless, also the formation of areas with rather layer-like growth was observed in case of an oxidation with wet O2 at 300°C. This growth mode indicates the partial destruction of the MWCNT surface. However, the damages introduced into the MWCNTs during the pre treatment are too low to be detected by Raman spectroscopy.
9

Atomic Layer Deposition and Microanalysis of Ultrathin Layers

Melzer, Marcel 17 October 2012 (has links) (PDF)
Carbon nanotubes (CNTs) are a highly promising material for future interconnects. It is expected that the decoration of CNTs with Cu particles or also the filling of the interspaces between the CNTs with Cu instead of the currently used SiO2 can enhance the performance of CNT-based interconnects. Due to the high aspect ratio of CNTs an appropriate deposition technique has to be applied which is able to coat such structures uniformly. The current work is therefore considered with thermal atomic layer deposition (ALD) of CuxO from the liquid Cu (I) β-diketonate precursor [(nBu3P)2Cu(acac)] and wet oxygen at 135°C on variously pretreated multi-walled CNTs. The different in-situ pre-treatments of the CNTs with oxygen, water vapor and wet oxygen in a temperature range from 100 to 300°C at a pressure of 1.33 mbar have been carried out prior to the ALD to enable uniform nucleation on the otherwise chemical inert CNT surface. The reduction of the CuxO as well as the filling of the space between the CNTs is not part of this work. Variations of the oxidation temperature as well as the oxidation agents resulted in different growth modes of the CuxO. An oxidation with wet oxygen at 300°C yielded in a partially layer like growth of the CuxO. It is expected that this growth mode is connected to a partial destruction of the outer CNT shell due to the oxidation. However, the damage introduced to the CNTs was not high enough to be detected by Raman spectroscopy. For all other investigated pretreatments, the formation of nanoparticles (NPs) was observed by electron microscopy. This formation of CuxO NPs can be explained by the metal-tube-interaction. Furthermore, the NPs probably decorate defect sites of the CNTs due to their higher reactivity. Additionally, analysis of energy-dispersive X-ray spectroscopy and spectroscopic ellipsometry measurements suggests that the used precursor [(nBu3P)2Cu(acac)] requires reactive oxygen surface groups for initiating the ALD growth. The observation of layer-like growth of CuxO on CNTs pretreated with wet oxygen at 300°C appears promising for deposition processes of Cu seed layers on CNTs. However, more aggressive pretreatments at higher temperatures or with more aggressive oxidation agents could be required to enable layer like growth on the entire CNTs.
10

ALD of Copper and Copper Oxide Thin Films For Applications in Metallization Systems of ULSI Devices

Waechtler, Thomas, Oswald, Steffen, Roth, Nina, Lang, Heinrich, Schulz, Stefan E., Gessner, Thomas 15 July 2008 (has links) (PDF)
<p> As a possible alternative for growing seed layers required for electrochemical Cu deposition of metallization systems in ULSI circuits, the atomic layer deposition (ALD) of Cu is under consideration. To avoid drawbacks related to plasma-enhanced ALD (PEALD), thermal growth of Cu has been proposed by two-step processes forming copper oxide films by ALD which are subsequently reduced. </p> <p> This talk, given at the 8th International Conference on Atomic Layer Deposition (ALD 2008), held in Bruges, Belgium from 29 June to 2 July 2008, summarizes the results of thermal ALD experiments from [(<sup><i>n</i></sup>Bu<sub>3</sub>P)<sub>2</sub>Cu(acac)] precursor and wet O<sub>2</sub>. The precursor is of particular interest as it is a liquid at room temperature and thus easier to handle than frequently utilized solids such as Cu(acac)<sub>2</sub>, Cu(hfac)<sub>2</sub> or Cu(thd)<sub>2</sub>. Furthermore the substance is non-fluorinated, which helps avoiding a major source of adhesion issues repeatedly observed in Cu CVD. </p> <p> As result of the ALD experiments, we obtained composites of metallic and oxidized Cu on Ta and TaN, which was determined by angle-resolved XPS analyses. While smooth, adherent films were grown on TaN in an ALD window up to about 130°C, cluster-formation due to self-decomposition of the precursor was observed on Ta. We also recognized a considerable dependency of the growth on the degree of nitridation of the TaN. In contrast, smooth films could be grown up to 130°C on SiO<sub>2</sub> and Ru, although in the latter case the ALD window only extends to about 120°C. To apply the ALD films as seed layers in subsequent electroplating processes, several reduction processes are under investigation. Thermal and plasma-assisted hydrogen treatments are studied, as well as thermal treatments in vapors of isopropanol, formic acid, and aldehydes. So far these attempts were most promising using formic acid at temperatures between 100 and 120°C, also offering the benefit of avoiding agglomeration of the very thin ALD films on Ta and TaN. In this respect, the process sequence shows potential for depositing ultra-thin, smooth Cu films at temperatures below 150°C. </p>

Page generated in 0.0412 seconds